A dual-core programmable decoder for LDPC convolutional codes (English)
- New search for: Tavares, Marcos B.S.
- New search for: Matus, Emil
- New search for: Kunze, Steffen
- New search for: Fettweis, Gerhard P.
- New search for: Tavares, Marcos B.S.
- New search for: Matus, Emil
- New search for: Kunze, Steffen
- New search for: Fettweis, Gerhard P.
In:
2008 IEEE International Symposium on Circuits and Systems
;
532-535
;
2008
- Conference paper / Electronic Resource
-
Title:A dual-core programmable decoder for LDPC convolutional codes
-
Contributors:Tavares, Marcos B.S. ( author ) / Matus, Emil ( author ) / Kunze, Steffen ( author ) / Fettweis, Gerhard P. ( author )
-
Published in:
-
Publisher:
- New search for: IEEE
-
Publication date:2008-05-01
-
Size:214973 byte
-
ISBN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
Design of an ultra-low power SA-ADC with medium/high resolution and speedAgnes, Andrea / Bonizzoni, Edoardo / Maloberti, Franco et al. | 2008
- 5
-
A power scalable 6-bit 1.2GS/s flash ADC with power on/off Track-and-Hold and preamplifierHe-Gong Wei, / U-Fat Chio, / Yan Zhu, / Sai-Weng Sin, / Seng-Pan, U. / Martins, R.P. et al. | 2008
- 9
-
A 52mW 0.56mm2 1.2V 12b 120MS/s SHA-Free dual-channel Nyquist ADC based on mid-code calibrationHee-Cheol Choi, / Young-Ju Kim, / Se-Won Lee, / Jae-Yeol Han, / Oh-Bong Kwon, / Younglok Kim, / Seung-Hoon Lee, et al. | 2008
- 13
-
A 0.9V 10-bit 100 MS/s switched-RC pipelined ADC without using a front-end S/H in 90nm CMOSHashemi, Sedigheh / Shoaei, Omid et al. | 2008
- 17
-
General analysis on the impact of phase-skew in time-interleaved ADCsEl-Chammas, Manar / Murmann, Boris et al. | 2008
- 21
-
A high-speed 2-D transform architecture with unique kernel for multi-standard video applicationsChong-Yu Huang, / Lien-Fei Chen, / Yeong-Kang Lai, et al. | 2008
- 25
-
HP422-MoCHA: A H.264/AVC High Profile motion compensation architecture for HDTVZatt, Bruno / Susin, Altamiro / Bampi, Sergio / Agostini, Luciano et al. | 2008
- 29
-
Frame-parallel design strategy for high definition B-frame H.264/AVC encoderYi-Hau Chen, / Tzu-Der Chuang, / Yu-Han Chen, / Chen-Han Tsai, / Liang-Gee Chen, et al. | 2008
- 33
-
Prediction-based real-time CABAC decoder for high definition H.264/AVCWonHee Son, / In-Cheol Park, et al. | 2008
- 37
-
A HW CABAC encoder with efficient context access scheme for H.264/AVCTian, X.H. / Le, T.M. / Jiang, X. / Lian, Y. et al. | 2008
- 41
-
New narrowband active noise control systems with significantly less computational requirementsYegui Xiao, / Shadaydeh, Maha / Ward, Rabab Kreidieh et al. | 2008
- 45
-
Minimum redundancy MIMO radarsChun-Yang Chen, / Vaidyanathan, P. P. et al. | 2008
- 49
-
Sliding window online Kernel-based classification by projection mappingsSlavakis, Konstantinos / Theodoridis, Sergios et al. | 2008
- 53
-
Semi-blind data-selective algorithms for channel equalizationDiniz, Paulo S. R. / Lima, Markus V. S. / Martins, Wallace A. et al. | 2008
- 57
-
Fixed-point analysis of adaptive filters based on the EDS algorithmZhongkai Zhang, / Bose, Tamal / Radenkovic, Miloje S. et al. | 2008
- 61
-
BW extension in shunt feedback transimpedance amplifiers using negative miller capacitanceGoswami, Sushmit / Copani, Tino / Vermeire, Bert / Barnaby, Hugh et al. | 2008
- 65
-
Tunable transimpedance amplifiers with constant bandwidth for optical communicationsSanz, M.T. / Garcia del Pozo, J.M. / Celma, S. / Alegre, J.P. / Sarmiento, A. et al. | 2008
- 69
-
A simple class-AB transconductor in CMOSMinch, Bradley A. et al. | 2008
- 73
-
A −72 dB @ 2 MHz IM3 CMOS tunable pseudo-differential transconductorLujan-Martinez, C. / Torralba, A. / Carvajal, R.G. / Ramirez-Angulo, Jaime / Lopez-Martin, Antonio et al. | 2008
- 77
-
Bulk-driven gain-enhanced fully-differential amplifier for VT + 2Vdsat operationLayton, Kent D. / Comer, Donald T. / Comer, David J. et al. | 2008
- 81
-
Coefficient decimation approach for realizing reconfigurable finite impulse response filtersMahesh, R. / Vinod, A. P. et al. | 2008
- 85
-
A reconfigurable multi-stage frequency response masking filter bank architecture for software defined radio receiversSmitha, K.G. / Mahesh, R. / Vinod, A. P. et al. | 2008
- 89
-
Concept for an adaptive digital front-end for multi-mode wireless receiversHueber, Gernot / Stuhlberger, Rainer / Springer, Andreas et al. | 2008
- 93
-
Filter bank based frequency-domain equalizers with diversity combiningIhalainen, Tero / Yuan Yang, / Renfors, Markku et al. | 2008
- 97
-
Binary de Bruijn interconnection network for a flexible LDPC/turbo decoderMoussa, Hazem / Baghdadi, Amer / Jezequel, Michel et al. | 2008
- 101
-
Network topology estimation through synchronization: A case study on quantum dot CNNRighero, Marco / Checco, Paolo / Biey, Mario / Kocarev, Ljupco et al. | 2008
- 105
-
Solving ability of Hopfield Neural Network with scale-rule noise for QAPYoshifumi Tada, / Yoko Uwate, / Yoshifumi Nishio, et al. | 2008
- 109
-
Topology identification of an uncertain general complex dynamical networkHui Liu, / Junan Lu, / Jinhu Lu, et al. | 2008
- 113
-
Wave propagation in oscillators coupled by time-varying resistor with timing mismatchYoko Uwate, / Yoshifumi Nishio, et al. | 2008
- 117
-
Constraint modules: An introductionMitros, Piotr et al. | 2008
- 121
-
Recently developed approaches for solving blind deconvolution of MIMO-IIR Systems: Super-exponential and eigenvector methodsMitsuru Kawamoto, / Yujiro Inouye, / Kiyotaka Kohno, et al. | 2008
- 125
-
Semi-blind channel estimation of MIMO-OFDM systems with pulse shapingFeng Wan, / Wei-Ping Zhu, / Swamy, M.N.S. et al. | 2008
- 129
-
Perturbation analysis of subspace-based semi-blind MIMO channel estimation approachesFeng Wan, / Wei-Ping Zhu, / Swamy, M.N.S. et al. | 2008
- 133
-
Blind identification of MIMO channels with periodic precodersWeizhou Su, / Wei Xing Zheng, / Qingqi Bi, / Shengli Xie, et al. | 2008
- 137
-
Blind block synchronization algorithms in cyclic prefix systemsBotching Su, / Vaidyanathan, P. P. et al. | 2008
- 141
-
Power-delay optimization in MCML tapered buffersAlioto, Massimo / Palumbo, Gaetano et al. | 2008
- 145
-
Improving the power-delay product in SCL circuits using source follower output stageTajalli, Armin / Gurkaynak, Frank K. / Leblebici, Yusuf / Alioto, Massimo / Brauer, Elizabeth J. et al. | 2008
- 149
-
An 8-bit 1.8 V 500 MS/s CMOS DAC with a novel four-stage current steering architectureSarkar, Santanu / Prasad, Ravi sankar / Dey, Sanjoy Kumar / Belde, Vinay / Banerjee, Swapna et al. | 2008
- 153
-
Transistor-level programmable MOS analog IC with body biasingFujimura, Toru / Nakatake, Shigetoshi et al. | 2008
- 157
-
High speed serial interface for mobile LCD driver ICHyun-Kyu Jeon, / Hye-Ran Kim, / Jung-Min Choi, / Ju-Pyo Hong, / Yong-Suk Kim, / Hyung-Seog Oh, / Dae-Keun Han, / Lee-Sup Kim, et al. | 2008
- 161
-
A two-stator MEMS power generator for cardiac pacemakersMartinez-Quijada, Jose / Chowdhury, Sazzadur et al. | 2008
- 165
-
A fully differential CMOS capacitance sensor design, testing and array architectureBangalore Prakash, Somashekar / Abshire, Pamela et al. | 2008
- 169
-
Graphene nanoribbon field-effect transistorsThornhill, Stephen / Wu, Nathanael / Wang, Z. F. / Shi, Q. W. / Chen, Jie et al. | 2008
- 173
-
Analyzing mixed carbon nanotube bundles: A current density studyLiwei Shang, / Ming Liu, / Tanachutiwat, Sansiri / Wei Wang, et al. | 2008
- 177
-
Carbon nanotube circuit design choices in the presence of metallic tubesAshraf, Rehman / Chrzanowska-Jeske, Malgorzata / Narendra, Siva G. et al. | 2008
- 181
-
Bilateral design of mm-wave LNA and receiver front-end in 90nm CMOSKaChun Kwok, / Long, John R. et al. | 2008
- 185
-
A 700Mbit/s CMOS capacitive feedback front-end amplifier with automatic gain control for broadband optical wireless linksYiling Zhang, / Joyner, Valencia / Yun, Ruida / Sonkusale, Sameer et al. | 2008
- 189
-
124dB⋅Hz⅔ Dynamic range transimpedance amplifier for electronic-photonic channelizerKopa, Anthony / Apsel, Alyssa B. et al. | 2008
- 193
-
A 10 Gb/s optical receiver in 0.25 μm silicon-on-sapphire CMOSChen, Paul C. P. / Pappu, Anand M. / Zhongtao Fu, / Wattanapanitch, Woradorn / Apsel, Alyssa B. et al. | 2008
- 197
-
An optically powered, free space optical communications receiverJingjing Liu, / Faulkner, Grahame E. / Collins, Steve / O'Brien, Dominic C. / Elston, Steve J. et al. | 2008
- 201
-
Minimum energy broadcasting in wireless networks (extended abstract)Toshinori Yamada, et al. | 2008
- 205
-
Minimization of I/O Delay in the architectural synthesis of DSP data flow graphsItradat, Awni / Ahmad, M.O. / Shatnawi, Ali et al. | 2008
- 209
-
A method for verifying deadlock freedom and liveness of petri netsLi Jiao, et al. | 2008
- 212
-
On the three-dimensional orthogonal drawing of series-parallel graphs (extended abstract)Satoshi Tayu, / Kumiko Nomura, / Shuichi Ueno, et al. | 2008
- 216
-
Versatile graphs for tail-biting convolutional codesZahabi, M. R. / Meghdadi, V. / Meghdadi, H. / Cances, J. P. et al. | 2008
- 220
-
Power-and-area efficient 14-bit 1.5 MSample/s two-stage algorithmic ADC based on a mismatch-insensitive MDACEsperanca, B. / Goes, J. / Tavares, R. / Galhardo, A. / Paulino, N. / Medeiros Silva, M. et al. | 2008
- 224
-
A 10-bit 8.3MS/s switched-current successive approximation ADC for column-parallel imagersZheng Yang, / Van der Spiegel, Jan et al. | 2008
- 228
-
Predictive timing error calibration technique for RF current-steering DACsYongjian Tang, / Hegt, Hans / van Roermund, Arthur et al. | 2008
- 232
-
New simple digital self-calibration technique for pipeline ADCs using the internal thermal noiseFigueiredo, M. / Paulino, N. / Evans, G. / Goes, J. et al. | 2008
- 236
-
Capacitor array structure and switch control for energy-efficient SAR analog-to-digital convertersJeong-Sup Lee, / In-Cheol Park, et al. | 2008
- 240
-
Area efficient controller design of barrel shifters for reconfigurable LDPC decodersOh, Daesun / Parhi, Keshab K. et al. | 2008
- 244
-
A fault-tolerant, DFA-resistant AES coreMoratelli, Carlos / Ghellar, Felipe / Cota, Erika / Lubaszewski, Marcelo et al. | 2008
- 248
-
Modeling and exploration of a reconfigurable architecture for digital holographic imagingLenart, Thomas / Svensson, Henrik / Owall, Viktor et al. | 2008
- 252
-
Leakage-based differential power analysis (LDPA) on sub-90nm CMOS cryptosystemsLang Lin, / Burleson, Wayne et al. | 2008
- 256
-
A motion compensation system with a high efficiency reference frame pre-fetch scheme for QFHD H.264/AVC decodingPing Chao, / Youn-Long Lin, et al. | 2008
- 260
-
Discrete tchebichef transform-A fast 4x4 algorithm and its application in image/video compressionIshwar, Sujata / Meher, Pramod Kumar / Swamy, M.N.S. et al. | 2008
- 264
-
Multiframe image super-resolution using quasi-newton algorithmsSorrentino, Diego A. / Antoniou, Andreas et al. | 2008
- 268
-
Application of scalable visual sensitivity profile in image and video codingQian Chen, / Guangtao Zhai, / Xiaokang Yang, / Wenjun Zhang, et al. | 2008
- 272
-
Modeling of the DCT coefficients of imagesBhuiyan, M.I.H. / Omair Ahmad, M. / Swamy, M.N.S. et al. | 2008
- 276
-
Target region-aware tone reproductionDun-Yu Hsiao, / Liao, Hong-Yuan Mark et al. | 2008
- 280
-
A 1.5 bit 5th order CT/DT delta sigma class D amplifier with power efficiency improvementChung-Wei Lin, / Yung-Ping Lee, / Wen-Tsao Chen, et al. | 2008
- 284
-
PSRR of bridge-tied load PWM Class D AmpsTong Ge, / Chang, Joseph S. / Wei Shu, et al. | 2008
- 288
-
High slew rate two stage A/AB and AB/AB op-amps with phase lead compensation at output node and local common mode feedbackRamirez-Angulo, Jaime / Carvajal, Ramon G. / Lopez-Martin, Antonio et al. | 2008
- 292
-
A simple approach for the implementation of CMOS amplifiers with constant bandwidth independent of gainAcosta, L. / Carvajal, R. G. / Ramirez-Angulo, J. / Lopez-Martin, A. et al. | 2008
- 296
-
A novel topology in RNMC amplifiers with single miller compensation capacitorJalalifar, Majid / Yavari, Mohammad / Raissi, Farshid et al. | 2008
- 300
-
VLSI architecture for data-reduced steering matrix feedback in MIMO systemsStuder, C. / Luethi, P. / Fichtner, W. et al. | 2008
- 304
-
Hardware-efficient steering matrix computation architecture for MIMO communication systemsSenning, C. / Studer, C. / Luethi, P. / Fichtner, W. et al. | 2008
- 308
-
A single-FPGA multipath MIMO fading channel simulatorAlimohammad, Amirhossein / Fard, Saeed Fouladi / Cockburn, Bruce F. / Schlegel, Christian et al. | 2008
- 312
-
A modified MMSE-SD soft detector for coded MIMO-OFDM systemsYongmei Dai, / Zhiyuan Yan, et al. | 2008
- 316
-
The application of lattice-reduction to the K-Best algorithm for near-optimal MIMO detectionShabany, Mahdi / Gulak, P. Glenn et al. | 2008
- 320
-
Introducing Complex Oscillation Based Test: an application example targeting Analog to Digital ConvertersCallegari, Sergio et al. | 2008
- 324
-
Stability study of the TCP-RED system using detrended fluctuation analysisXi Chen, / Siu-Chung Wong, / Tse, Chi K. / Trajkovic, Ljiljana et al. | 2008
- 328
-
Rotation map with a controlling segment and its application to A/D convertersYusuke Matsuoka, / Toshimichi Saito, et al. | 2008
- 332
-
A quantum-dot light-harvesting architecture using deterministic phase controlWakayama, Cherry / Kohn, Wolf / Zabinsky, Zelda / Shi, Richard et al. | 2008
- 336
-
A method based on a genetic algorithm to find PWL approximations of multivariate nonlinear functionsLinaro, Daniele / Storace, Marco et al. | 2008
- 340
-
General-pupose technology for a general-purpose nervous systemLoeb, Gerald E. / Wills, Jack et al. | 2008
- 344
-
Pulse-based signal compression for implanted neural recording systemsHarris, John G. / Principe, Jose C. / Sanchez, Justin C. / Du Chen, / She, Christy et al. | 2008
- 348
-
Radios for the brain? a practical micropower sensing and algorithm architecture for neurostimulatorsSanta, Wes / Jensen, Randy / Miesel, Keith / Carlson, Dave / Avestruz, Al / Molnar, Greg / Denison, Tim et al. | 2008
- 352
-
Implant electronics for intraocular epiretinal neuro-stimulatorsLehmann, Torsten / Lovell, Nigel H. / Suaning, Gregg J. / Preston, Philip / Wong, Yan T. / Dommel, Norbert / Hyunsuk Jung, Louis / Moghe, Yashodhan / Das, Kushal et al. | 2008
- 356
-
Stimulation and recording of neural tissue, closing the loop on the artifactBrown, Edgar A. / Ross, James D. / Blum, Richard A. / DeWeerth, Stephen P. et al. | 2008
- 360
-
Power-aware topology optimization for networks-on-chipsElmiligi, Haytham / Morgan, Ahmed A. / Watheq El-Kharashi, M. / Gebali, Fayez et al. | 2008
- 364
-
Design target exploration for meeting time-to-market using pareto analysisThangaraj, Charles / Chen, Tom et al. | 2008
- 368
-
A quantitative evaluation of C-based synthesis on heterogeneous embedded systems designHammami, Omar / Wang, Zoukun / Fresse, Virginie / Houzet, Dominique et al. | 2008
- 372
-
A robust alternate repeater technique for high performance busses in the multi-core eraKaul, Himanshu / Seo, Jae-sun / Anders, Mark / Sylvester, Dennis / Krishnamurthy, Ram et al. | 2008
- 376
-
Input port reduction for efficient substrate extraction in large scale IC’sSalman, Emre / Jakushokas, Renatas / Friedman, Eby G. / Secareanu, Radu M. / Hartin, Olin L. et al. | 2008
- 380
-
Variation-tolerant, low-power PN-code acquisition using stochastic sensor NOCVaratkar, Girish V. / Narayanan, Sriram / Shanbhag, Naresh R. / Jones, Douglas L. et al. | 2008
- 384
-
Capacitive coupling based transient negative bit-line voltage (Tran-NBL) scheme for improving write-ability of SRAM design in nanometer technologiesMukhopadhyay, S. / Rao, R. / Kim, J. J. / Chuang, C. T. et al. | 2008
- 388
-
Low variation current source for 90nm CMOSZhang, Xuan / Pappu, Anand M. / Apsel, Alyssa B. et al. | 2008
- 392
-
Design of process variation tolerant radio frequency low noise amplifierSen, Shreyas / Chatterjee, Abhijit et al. | 2008
- 396
-
Binary translation process to optimize nanowire arrays usageRhod, E. L. / Rutzig, M. B. / Carro, L. et al. | 2008
- 400
-
Cross-dimensional quality assessment for low bitrate videoZhai, Guangtao / Lin, Weisi / Cai, Jianfei / Yang, Xiaokang / Zhang, Wenjun / Etoh, Minoru et al. | 2008
- 404
-
Backward-forward distortion minimization for binary images data hidingYang, Huijuan / Kot, Alex C. et al. | 2008
- 408
-
Peceptual distortion metric based on wavelet frequency sensitivity and multiple visual fixationsSusu Yao, / EePing Ong, / Mei Hwan Loke, et al. | 2008
- 412
-
Adaptive feature selection for digital camera source identificationMin-Jen Tsai, / Cheng-Sheng Wang, et al. | 2008
- 416
-
On the quality assessment of sound signalsde Lima, A. A. / Freeland, F. P. / de Jesus, R. A. / Bispo, B. C. / Biscainho, L. W. P. / Netto, S. L. / Said, A. / Kalker, A. / Schafer, R. / Lee, B. et al. | 2008
- 420
-
A two-neuron cross-correlation circuit with a wide and continuous range of time delayTapson, J. / Vismer, M.P. / Jin, C. / van Schaik, A. / Folowosele, F.O. / Etienne-Cummings, R. et al. | 2008
- 424
-
Fall detection using an address-event temporal contrast vision sensorZhengming Fu, / Culurciello, Eugenio / Lichtsteiner, Patrick / Delbruck, Tobi et al. | 2008
- 428
-
Bifurcations in a silicon neuronBasu, Arindam / Petre, Csaba / Hasler, Paul et al. | 2008
- 432
-
A biophysically based dendrite model using programmable floating-gate devicesBrink, Stephen / Koziol, Scott / Ramakrishnan, Shubha / Hasler, Paul et al. | 2008
- 436
-
The time derivative neuronXu, Jie / Harris, John G. et al. | 2008
- 440
-
Quadrature generation techniques for frequency multiplication based oscillatorsPandey, Jagdish / Amrutur, Bharadwaj / Kudva, Sudhir et al. | 2008
- 444
-
Improved 6.7GHz CMOS VCO delay cell with up to seven octave tuning rangeLi Ke, / Wilcock, Reuben / Wilson, Peter et al. | 2008
- 448
-
A fully differential charge pump with accurate current matching and rail-to-rail common-mode feedback circuitZhenyu Yang, / Zhangwen Tang, / Hao Min, et al. | 2008
- 452
-
A charge-pump based 0.35-um CMOS RF switch driver for multi-standard operationsJeongwon Cha, / Minsik Ahn, / Changhyuk Cho, / Chang-Ho Lee, / Laskar, Joy et al. | 2008
- 456
-
Low-voltage bulk-driven mixer with on-chip balunVan Vorst, Daryl / Mirabbasi, Shahriar et al. | 2008
- 460
-
A 65nm 10GHz pipelined MAC structureKashfi, Fatemeh / Fakhraie, S. Mehdi / Safari, Saeed et al. | 2008
- 464
-
A new look-up table-based multiplier/squarer design for cryptosystems over GF(2m)Wen-Ching Lin, / Jun-Hong Chen, / Ming-Der Shieh, et al. | 2008
- 468
-
An automatic hardware generator for special arithmetic functions using various ROM-based approximation approachesShen-Fu Hsiao, / Ping-Chung Wei, / Ching-Pin Lin, et al. | 2008
- 472
-
A high performance floating-point special function unit using constrained piecewise quadratic approximationDe Caro, Davide / Petra, Nicola / Strollo, Antonio G. M. et al. | 2008
- 476
-
Novel VLSI implementation of Peano-Hilbert curve address generatorYan Wang, / Shoushun Chen, / Amine Bermak, et al. | 2008
- 480
-
The efficient VLSI design of BI-CUBIC convolution interpolation for digital image processingChung-chi Lin, / Ming-hwa Sheu, / Huann-keng Chiang, / Chishyan Liaw, / Zeng-chuan Wu, et al. | 2008
- 484
-
A novel CAVLC architecture for H.264 Video encoding at high bit-rateYongseok Yi, / Byung Cheol Song, et al. | 2008
- 488
-
Analysis of video filtering on the cell processorAzevedo, Arnaldo / Meenderinck, Cor / Juurlink, Ben / Alvarez, Mauricio / Ramirez, Alex et al. | 2008
- 492
-
Efficient intra-4×4 mode decision based on bit-rate estimation in H.264/AVCJiaying Liu, / Zongming Guo, et al. | 2008
- 496
-
Bit-depth expansion by adaptive filterLiu, Chun Hung / Au, Oscar C. / Wong, Peter H. W. / Kung, M. C. / Chao, Shen Chang et al. | 2008
- 500
-
Automated conversion of Simulink designs to analog hardware on an FPAAPetre, Csaba / Schlottmann, Craig / Hasler, Paul et al. | 2008
- 504
-
A novel approach for automated model generationLikun Xia, / Bell, I.M. / Wilkinson, A.J. et al. | 2008
- 508
-
Accurate and reusable macromodeling technique using a fuzzy-logic approachDomenech-Asensi, Gines / Hinojosa, Juan / Ruiz, Ramon / Diaz-Madrid, Jose Angel et al. | 2008
- 512
-
Reducing the effects of component mismatch by using relative size informationGregoire, B. Robert / Moon, Un-Ku et al. | 2008
- 516
-
Capacitance ratio approximation in SC filters via genetic algorithmSoares, Carlos F. T. / Petraglia, A. et al. | 2008
- 520
-
VLSI decoding architecture with improved convergence speed and reduced decoding latency for irregular LDPC codes in WiMAXYeong-Luh Ueng, / Chung-Jay Yang, / Zong-Cheng Wu, / Chen-Eng Wu, / Yu-Lun Wang, et al. | 2008
- 524
-
Enhanced delta-based layered decoding of WiMAX QC-LDPC codesTzu-Chieh Kuo, / Willson, Alan N. et al. | 2008
- 528
-
Switching activity reducing layered decoding algorithm for LDPC codesShu-Cheng Chou, / Mong-Kai Ku, / Chia-Yu Lin, et al. | 2008
- 532
-
A dual-core programmable decoder for LDPC convolutional codesTavares, Marcos B.S. / Matus, Emil / Kunze, Steffen / Fettweis, Gerhard P. et al. | 2008
- 536
-
Adaptive quantization in min-sum based irregular LDPC decoderSangmin Kim, / Sobelman, Gerald E. / Hanho Lee, et al. | 2008
- 540
-
State discontinuity analysis of linear switched systems via energy function optimizationFrasca, Roberto / Camlibel, M. Kanat / Goknar, Izzet Cem / Iannelli, Luigi / Vasca, Francesco et al. | 2008
- 544
-
Injection locking conditions under small periodic excitationsGourary, M.M. / Rusakov, S.G. / Ulyanov, S.L. / Zharov, M.M. / Mulvaney, B.J. / Gullapalli, K.K. et al. | 2008
- 548
-
Linear probability feedback processesRovatti, Riccardo / Mazzini, Gianluca / Setti, Gianluca / Vitali, Stefano et al. | 2008
- 552
-
A comparative study of the new LQ-MCS control on an automotive electro-mechanical systemdi Bernardo, Mario / di Gaeta, Alessandro / Montanaro, Umberto / Santini, Stefania et al. | 2008
- 556
-
Stability analysis and control of bifurcations of parallel connected DC/DC converters using the monodromy matrixElbkosh, Abdulmajed / Giaouris, Damian / Pickert, Volker / Zahawi, Bashar / Banerjee, Soumitro et al. | 2008
- 560
-
Digitally enhanced analog circuits: System aspectsMurmann, Boris / Vogel, Christian / Koeppl, Heinz et al. | 2008
- 564
-
Performance enhancement of linear power amplifier employing digital techniqueBumman Kim, / Jangheon Kim, / Jinsung Choi, / Ildu Kim, et al. | 2008
- 568
-
Mitigation of CMOS device variability in the transmitter amplitude path using Digital RF ProcessingWaheed, Khurram / Staszewski, Robert B. et al. | 2008
- 572
-
Mixed-domain system representation using Volterra seriesHasler, Martin / Kubin, Gernot et al. | 2008
- 576
-
A new orthogonal online digital calibration for time-interleaved analog-to-digital convertersFerre, G. / Jridi, M. / Bossuet, L. / Le Gal, B. / Dallet, D. et al. | 2008
- 580
-
A Dual-Vt low leakage SRAM array robust to process variationsJungseob Lee, / Lin Xie, / Davoodi, Azadeh et al. | 2008
- 584
-
A portless SRAM Cell using stunted wordline driversWieckowski, Michael / Margala, Martin et al. | 2008
- 588
-
Presetting pulse-based flip-flopKim, Chul-Soo / Kim, Joo-Seong / Kong, Bai-Sun / Moon, Yongsam / Jun, Young-Hyun et al. | 2008
- 592
-
High speed digital CMOS divide-by-N fequency dividerAbdel-Hafeez, Saleh / Harb, Shadi M. / Eisenstadt, William R. et al. | 2008
- 592
-
High speed digital CMOS divide-by-N frequency dividerAbdel-Hafeez, S. / Harb, S.M. / Eisenstadt, W.R. et al. | 2008
- 596
-
A design methodology for logic paths tolerant to local intra-die variationsIparraguirre-Cardenas, Daniel / Garcia-Gervacio, Jose L. / Champac, Victor et al. | 2008
- 600
-
An experimental study on multi-island structures for single-electron tunneling based threshold logicPuthucode, Venketeshwaran / Chunhong Chen, et al. | 2008
- 604
-
Limits to a correct operation in RTD-based ternary invertersNunez, Juan / Quintana, Jose M. / Avedillo, Maria J. et al. | 2008
- 608
-
An efficient methodology to evaluate nanoscale circuit fault-tolerance performance based on belief propagationHuifei Rao, / Jie Chen, / Zhao, Vicky H. / Woon Tiong Ang, / I-Chyn Wey, / An-Yeu Wu, et al. | 2008
- 612
-
Power-saving nano-scale DRAMs with an adaptive refreshing clock generatorTung-Han Tsai, / Chin-Lin Chen, / Ching-Li Lee, / Chua-Chin Wang, et al. | 2008
- 616
-
Microstrip stepped impedance lowpass filters based on the maxwell-wagner polarization mechanismProdromakis, Themistoklis / Papavassiliou, Christos / Michelakis, Kostis et al. | 2008
- 620
-
Early detection of all-zero block in H.264 with new rate-quantization modelsWei Yao, / Zheng Guo Li, / Rahardja, Susanto et al. | 2008
- 624
-
A fast adaptive quantization matrix selection method in H.264/AVCYifu Zhang, / Shunliang Mei, / Quqing Chen, / Zhibo Chen, et al. | 2008
- 628
-
A model parameter and MAD prediction scheme for h.264 macroblock layer rate controlJianpeng Dong, / Nam Ling, et al. | 2008
- 632
-
Avoiding unnecessary frame memory access and multi-frame motion estimation computation in H.264/AVCWei-Cheng Lin, / Chung-Ho Chen, et al. | 2008
- 636
-
Complexity and memory efficient GOP structures supporting VCR functionalities in H.264/AVCJian Lou, / Shan Liu, / Anthony Vetro, / Ming-Ting Sun, et al. | 2008
- 640
-
Does the brain really outperform Rent’s rule?Beiu, Valeriu / Ibrahim, Walid et al. | 2008
- 644
-
LVDS interface for AER links with burst mode operation capabilityZamarreno-Ramos, Carlos / Serrano-Gotarredona, Rafael / Serrano-Gotarredona, Teresa / Linares-Barranco, Bernabe et al. | 2008
- 648
-
A serial communication infrastructure for multi-chip address event systemsFasnacht, Daniel B. / Whatley, Adrian M. / Indiveri, Giacomo et al. | 2008
- 652
-
Fully digital AER convolution chip for vision processingCamunas-Mesa, Luis / Acosta-Jimenez, Antonio / Serrano-Gotarredona, Teresa / Linares-Barranco, Bernabe et al. | 2008
- 656
-
A CMOS high IIP2 mixer for multi-standard receiversVahidfar, M.B. / Shoaei, O. et al. | 2008
- 660
-
An ultra low power UHF RFID tag front-end for EPCglobal Gen2 with novel clock-free decoderSung-Jin Kim, / Min-Chang Cho, / Joonhyun Park, / Kisuk Song, / Yul Kim, / SeongHwan Cho, et al. | 2008
- 664
-
3.1-to-7GHz UWB impulse radio transceiver front-end based on statistical correlation techniqueAnis, M. / Tielert, R. / Wehn, N. et al. | 2008
- 668
-
An open-source-input, ultra-wideband LNA with mixed-voltage ESD protection for full-band (170-to-1700 MHz) mobile TV tunersPui-In Mak, / Ka-Hou Ao Ieong, / Martins, R. P. et al. | 2008
- 672
-
A UWB CMOS 0.13μm low-noise amplifier with dual loop negative feedbackDe Michele, Luca Antonio / Serdijn, Wouter A. / Bagga, Sumit / Setti, Gianluca / Rovatti, Riccardo et al. | 2008
- 676
-
Switching activity estimation for shift-and-add based constant multipliersJohansson, Kenny / Gustafsson, Oscar / Wanhammar, Lars et al. | 2008
- 680
-
High-speed modular multiplication design for public-key cryptosystemsJun-Hong Chen, / Wen-Ching Lin, / Hao-Hsuan Wu, / Ming-Der Shieh, et al. | 2008
- 684
-
Performance analysis of flagged prefix adders with logical effortOruklu, Erdal / Dave, Vibhuti / Saniie, Jafar et al. | 2008
- 688
-
A novel decimal-to-decimal logarithmic converterDongdong Chen, / Younhee Choi, / Li Chen, / Teng, Daniel / Khan Wahid, / Seok-Bum Ko, et al. | 2008
- 692
-
Low-power logarithmic number system addition/subtraction and their impact on digital filtersKouretas, I. / Basetas, Ch. / Paliouras, V. et al. | 2008
- 696
-
Selective enhancement of space-time broadband spiral-waves using 2D IIR digital filtersMadanayake, H.L.P. Arjuna / Bruton, Len T. et al. | 2008
- 700
-
Efficient design of delta operator based 2-D IIR filters using symmetrical decompositionI-Hung Khoo, / Reddy, Hari C. / Rajan, P.K. et al. | 2008
- 704
-
Video coding with pixel-aligned directional adaptive interpolation filtersRusanovskyy, Dmytro / Ugur, Kemal / Gabbouj, Moncef / Lainema, Jani et al. | 2008
- 708
-
Image deringing using quadtree based block-shift filteringGuangtao Zhai, / Jianfei Cai, / Weisi Lin, / Xiaokang Yang, / Wenjun Zhang, et al. | 2008
- 712
-
Statistical detector for wavelet-based image watermarking using modified GH PDFMahbubur Rahman, S. M. / Omair Ahmad, M. / Swamy, M. N. S. et al. | 2008
- 716
-
Parameter variation analysis for voltage controlled oscillators in phase-locked loopsVytyaz, Igor / Lee, David C. / Moon, Un-Ku / Mayaram, Kartikeya et al. | 2008
- 720
-
Oscillation-based DFT for second-order OTA-C filtersMasood-ul-Hasan, / Yichuang Sun, / Xi Zhu, / Moritz, James et al. | 2008
- 724
-
Optimization of multi-stage amplifiers in deep-submicron CMOS using a distributed/parallel genetic algorithmSantos-Tavares, R. / Paulino, N. / Higino, J. / Goes, J. / Oliveira, J. P. et al. | 2008
- 728
-
Design methodology for CMOS distributed amplifiersGreen, Michael M. / Pisani, Marcelo B. / Dehollain, Catherine et al. | 2008
- 732
-
A methodology for efficient design of analog circuits using an automated simulation based synthesis toolKundu, Amal Kumar / Dastidar, Tathagato Rai / Bhattacharyya, Tarun Kanti / Ray, Partha et al. | 2008
- 736
-
Low-power traceback MAP decoding for double-binary convolutional turbo decoderCheng-Hung Lin, / Chun-Yu Chen, / Wu, An-Yeu et al. | 2008
- 740
-
Energy-efficient soft-output trellis decoder design using trellis quasi-reduction and importance-aware clock skew schedulingYang Liu, / Fei Sun, / Tong Zhang, et al. | 2008
- 744
-
Analog decoding of trellis coded modulation for multi-level flash memoriesSolda, S. / Vogrig, D. / Bevilacqua, A. / Gerosa, A. / Neviani, A. et al. | 2008
- 748
-
Current-mode memory cell with power down phase for discrete time analog iterative decodersDlugosz, Rafal / Gaudet, Vincent et al. | 2008
- 752
-
Multi-mode message passing switch networks applied for QC-LDPC decoderChih-Hao Liu, / Chien-Ching Lin, / Hsie-Chia Chang, / Chen-Yi Lee, / Yarsun Hsu, et al. | 2008
- 756
-
Synchronization of first-order time-delay systems generating n-scroll chaotic attractorsKilinc, Selcuk / Yalcin, Mustak E. / Ozoguz, Serdar et al. | 2008
- 760
-
An efficient and accurate method for computing the invariant measure of piecewise affine chaotic mapsAddabbo, Tommaso / Fort, Ada / Rocchi, Santina / Vignoli, Valerio et al. | 2008
- 764
-
Rigorous study of short periodic orbits for the Lorenz systemGalias, Zbigniew / Tucker, Warwick et al. | 2008
- 768
-
Multi-wing butterfly attractors from the modified Lorenz systemsSimin Yu, / Tang, Wallace K. S. / Jinhu Lu, / Guanrong Chen, et al. | 2008
- 772
-
Formulation and analysis of high-dimensional chaotic mapsLiu, Y. / Tang, Wallace K.S. / Kwok, H.S. et al. | 2008
- 776
-
Advanced IC technology - opportunities and challengesAnis, Mohab et al. | 2008
- 780
-
Interconnect design and limitations in nanoscale technologiesIsmail, Yehea I. et al. | 2008
- 784
-
Electrical modeling and characterization of 3-D viasSavidis, Ioannis / Friedman, Eby G. et al. | 2008
- 788
-
Work-function engineering for reduced power and higher integration density: An alternative to sizing for stability in FinFET memory circuitsTawfik, Sherif A. / Kursun, Volkan et al. | 2008
- 792
-
Performance analysis of optimized carbon nanotube interconnectMassoud, Yehia / Nieuwoudt, Arthur et al. | 2008
- 796
-
ROM based logic (RBL) design: High-performance and low-power addersPaul, Bipul C. / Shinobu Fujita, / Masaki Okajima, et al. | 2008
- 800
-
Multi-reference and multi-block-size motion estimation with flexible mode selection for professional 4:2:2 H.264/AVC encoder LSITakayuki Onishi, / Takashi Sano, / Koyo Nitta, / Mitsuo Ikeda, / Jiro Naganuma, et al. | 2008
- 804
-
A low-voltage latch-adder based tree multiplierTzu-Yuan Kuo, / Jinn-Shyan Wang, et al. | 2008
- 808
-
Programmable LSB-first and MSB-first modular multipliers for ECC in GF(2m)Satzoda, Ravi Kumar / Muralidharan, Ramya / Chip Hong Chang, et al. | 2008
- 812
-
Data reuse analysis of local stereo matchingTsung-Hsien Tsai, / Yen-Chung Chang, Nelson / Tian-Sheuan Chang, et al. | 2008
- 816
-
Semi-implicit integration method for the time-domain simulation of thermal responsesMaffezzoni, P. / Codecasa, L. / D'Amore, D. / Santomauro, M. et al. | 2008
- 820
-
2xVDD-tolerant crystal oscillator circuit realized with 1xVDD CMOS devices without gate-oxide reliability issueMing-Dou Ker, / Tzu-Ming Wang, / Hung-Tai Liao, et al. | 2008
- 824
-
Design of self-powered wireless system-on-a-chip sensor nodes for hostile environmentsBarnhart, David J. / Vladimirova, Tanya / Sweeting, Martin N. et al. | 2008
- 828
-
Device degradation and resilient computingGlosekotter, Peter / Greveler, Ulrich / Wirth, Gilson I. et al. | 2008
- 832
-
Adaptive error control for reliable systems-on-chipQiaoyan Yu, / Ampadu, Paul et al. | 2008
- 836
-
Hardware-oriented image inpainting for perceptual I-frame error concealmentChing-Yi Chen, / Guan-Lin Wu, / Shao-Yi Chien, et al. | 2008
- 840
-
Realizing high throughput transforms of H.264/AVCJianjun Li, / Ahamdi, M. et al. | 2008
- 844
-
VLSI friendly computation reduction scheme in H.264/AVC motion estimationYiqing Huang, / Satoshi Goto, / Takeshi Ikenaga, et al. | 2008
- 848
-
A sub 100 mW H.264/AVC MP@L4.1 integer-pel motion estimation processor VLSI for MBAFF encodingYuichiro Murachi, / Kosuke Mizuno, / Junichi Miyakoshi, / Masaki Hamamoto, / Takahiro Iinuma, / Tomokazu Ishihara, / Fang Yin, / Jangchung Lee, / Tetsuya Kamino, / Hiroshi Kawaguchi, et al. | 2008
- 852
-
A reconfigurable video embedding transcoder based on H.264/AVC: Design tradeoffs and analysisChih-Hung Li, / Wen-Hsiao Peng, / Tihao Chiang, et al. | 2008
- 856
-
A digital circuit design of hyperbolic tangent sigmoid function for neural networksChe-Wei Lin, / Jeen-Shing Wang, et al. | 2008
- 860
-
Analog VLSI implementation of support vector machine learning and classificationSheng-Yu Peng, / Minch, Bradley A. / Hasler, Paul et al. | 2008
- 864
-
SOM with False-Neighbor degree and its behaviorsMatsushita, Haruna / Nishio, Yoshifumi et al. | 2008
- 868
-
Reservoir optimization in recurrent neural networks using kronecker kernelsRad, Ali Ajdari / Jalili, Mahdi / Hasler, Martin et al. | 2008
- 872
-
A neurofuzzy selfmade network with output dependable on a single parameterHernandez, Jose Antonio Medina / Castaneda, Felipe Gomez / Cadenas, Jose Antonio Moreno et al. | 2008
- 876
-
Foundational-circuit-based spice simulationZhou, Tracey Y. / Dian Zhou, / Hua Zhang, / Xinyue Niu, et al. | 2008
- 880
-
Synthesis of RF CMOS Low Noise AmplifiersTulunay, Gulin / Balkir, Sina et al. | 2008
- 884
-
Accurate statistical analysis of a differential low noise amplifier using a combined SPICE-field solver approachSathanur, Arun V. / Chakraborty, Ritochit / Jandhyala, Vikram et al. | 2008
- 888
-
Expression of Concern: Analytical modeling of common-gate low noise amplifiersNejati, Hamid / Ragheb, Tamer / Massoud, Yehia et al. | 2008
- 892
-
Analog design retargeting by design knowledge reuse and circuit synthesisWebb, M. / Hua Tang, et al. | 2008
- 896
-
1-V continuously tunable CMOS bulk-driven transconductor for Gm-C filtersCarrillo, Juan M. / Duque-Carrillo, J. Francisco / Torelli, Guido et al. | 2008
- 900
-
A CMOS 750MHz fifth-order continuous-time linear phase lowpass filter with gain boostXi Zhu, / Yichuang Sun, / Moritz, James et al. | 2008
- 904
-
An inverse filter realisation of a single scale Inverse continuous wavelet transformCasson, Alexander J. / Rodriguez-Villegas, Esther et al. | 2008
- 908
-
A floating-gate transistor based continuous-time analog adaptive filterGray, Jordan / Srinivasan, Venkatesh / Robucci, Ryan / Hasler, Paul et al. | 2008
- 912
-
A CMOS linear tunable transconductor for continuous-time tunable Gm-C filtersSanchez-Rodriguez, T. / Lujan-Martinez, C.I. / Carvajal, R.G. / Ramirez-Angulo, Jaime et al. | 2008
- 916
-
An IIP2 calibration technique for CMOS multi-standard mixersVahidfar, M.B. / Shoaei, O. et al. | 2008
- 920
-
Multi-band combined LNA and mixerMartins, Miguel A. / Fernandes, Jorge R. / Silva, Manuel M. et al. | 2008
- 924
-
A reconfigurable A/D converter for 4G wireless systemsSilva, Artur / Horta, Nuno / Guilherme, Jorge et al. | 2008
- 928
-
AMBA AHB bus potocol checker with efficient debugging mechanismYi-Ting Lin, / Chien-Chou Wang, / Ing-Jer Huang, et al. | 2008
- 932
-
A low complexity complex QR factorization design for signal detection in MIMO OFDM systemsYin-Tsung Hwang, / Wei-Da Chen, et al. | 2008
- 936
-
A novel approach for K-best MIMO detection and its VLSI implementationMondal, Sudip / Salama, Khaled N. / Ali, Warsame H. et al. | 2008
- 940
-
Scalable VLSI architecture for K-best lattice decodersShabany, Mahdi / Gulak, P. Glenn et al. | 2008
- 944
-
FPGA implementation of a factorization processor for soft-decision reed-solomon decodingBainan Chen, / Xinmiao Zhang, et al. | 2008
- 948
-
Analysis of CORDIC-based triangularization for MIMO MMSE filteringBoher, Laurent / Rabineau, Rodrigue / Helard, Maryline et al. | 2008
- 952
-
Dual-mode RNS based programmable decimation filter for WCDMA and WLANaShahana, T. K. / Jose, Babita R. / James, Rekha K. / Jacob, K. Poulose / Sasi, Sreela et al. | 2008
- 956
-
Optimal frame synchronization for DVB-S2Li Qing, / Zeng Xiaoyang, / Wu Chuan, / Zhang Yulong, / Deng Yunsong, / Han, Jun et al. | 2008
- 960
-
A high-speed four-parallel radix-24 FFT/IFFT processor for UWB applicationsMinhyeok Shin, / Hanho Lee, et al. | 2008
- 964
-
A low-power V-band CMOS low-noise amplifier using current-sharing techniqueHong-Yu Yang, / Yo-Sheng Lin, / Chi-Chen Chen, / Wong, Simon S. et al. | 2008
- 968
-
Bandwidth extension for ultra-wideband CMOS low-noise amplifiersBaoyong Chi, / Chun Zhang, / Zhihua Wang, et al. | 2008
- 972
-
A single-chip UMTS receiver with integrated digital frontend in 0.13 μm CMOSZipper, Josef / Hueber, Gernot / Holm, Andreas et al. | 2008
- 972
-
A single-chip UMTS receiver with integrated digital frontend in 0.13 micrometer CMOSZipper, J. / Hueber, G. / Holm, A. et al. | 2008
- 976
-
A low-power RF front-end for 2.5 GHz receiversMoreno, L. / Gomez, D. / Gonzalez, J.L. / Mateo, D. / Aragones, X. / Berenguer, R. / Solar, H. et al. | 2008
- 980
-
A 24GHz low-power CMOS receiver designChen-Yuan Chu, / Chien-Cheng Wei, / Hui-Chen Hsu, / Shu-Hau Feng, / Wu-Shiung Feng, et al. | 2008
- 984
-
Design of Class-E power VCO in 65nm CMOS technology: Application to RF transmitter architectureDeltimple, Nathalie / Deval, Yann / Belot, Didier / Kerherve, Eric et al. | 2008
- 988
-
A 6–11GHz multi-phase VCO design with active inductorsLiao, Y.-T. / Richard Shi, C.-J. et al. | 2008
- 992
-
A quadrature oscillator using simplified phase and amplitude calibrationJonsson, Fredrik / Olsson, Hakan et al. | 2008
- 996
-
A 0.5-V 3.6/5.2 GHz CMOS multi-band LC VCO for ultra low-voltage wireless applicationsCatli, Burak / Hella, Mona M. et al. | 2008
- 1000
-
A low-phase-noise LC QVCO with bottom-series coupling and capacitor tappingYang Zhang, / Peng Liu, / Deukhyoun Heo, / Tang-Nian Luo, / Yi-Jan Emery Chen, et al. | 2008
- 1004
-
“Time borrowing” technique for design of low-power high-speed multi-modulus prescaler in frequency synthesizerQuan Yuan, / Hai-gang Yang, / Fang-yuan Dong, / Tao Yin, et al. | 2008
- 1008
-
Protocol-level performance analysis for anti-collision protocols in RFID systemsBerhea, Mohammed / Chunhong Chen, / Wu, Q. M. Jonathan et al. | 2008
- 1012
-
Two bit-level pipelined viterbi decoder for high-performance UWB applicationsYong-Je Goo, / Hanho Lee, et al. | 2008
- 1016
-
A novel digitally controlled low noise ring oscillatorKuendiger, Till / Fang Chen, / MacEachern, Leonard / Mahmoud, Samy et al. | 2008
- 1020
-
A new packet detection algorithm for IEEE 802.15.4a DBO-CSS in AWGN channelSeong-hyun Jang, / Sang-hun Yoon, / Jong-wha Chong, et al. | 2008
- 1024
-
Video decoder embedded with temporal LMMSE denoising filterLiwei Guo, / Au, Oscar C. / Mengyao Ma, / Wong, Peter H. et al. | 2008
- 1028
-
Image sensor with focal plane polarization sensitivityGruev, Viktor / Van der Spiegel, Jan / Engheta, Nader et al. | 2008
- 1032
-
Self-timed vertacolor dichromatic vision sensor for low power pattern detectionBerner, R. / Lichtsteiner, P. / Delbruck, T. et al. | 2008
- 1036
-
Steering with an aVLSI motion detection chipMoeckel, Rico / Jaeggi, Roger / Shih-Chii Liu, et al. | 2008
- 1040
-
A micro-power asynchronous contrast-based vision sensor wakes-up on motionGasparini, L. / De Nicola, M. / Massari, N. / Gottardi, M. et al. | 2008
- 1044
-
AER-based robotic closed-loop control systemJimenez-Fernandez, A. / Paz-Vicente, R. / Rivas, M. / Linares-Barranco, A. / Jimenez, G. / Civit, A. et al. | 2008
- 1048
-
Configuring silicon neural networks using genetic algorithmsOrchard, Garrick / Russell, Alexander / Mazurek, Kevin / Tenore, Francesco / Etienne-Cummings, Ralph et al. | 2008
- 1052
-
A bio-inspired closed-loop insulin delivery based on the silicon pancreatic beta-cellMel Ho, / Georgiou, Pantelis / Singhal, Suket / Oliver, Nick / Toumazou, Chris et al. | 2008
- 1056
-
Image convolution using a probabilistic mapper on USB-AER boardPaz-Vicente, Rafael / Jimenez-Fernandez, Angel / Linares-Barranco, Alejandro / Moreno, Gabriel Jimenez / Gomez-Rodriguez, Francisco / Miro-Amarante, Lourdes / Civit-Ballcels, Anton et al. | 2008
- 1060
-
Real time signal reconstruction from spikes on a digital signal processorHarris, John G. / Jie Xu, / Rastogi, Manu / Singh-Alvarado, Alexander / Garg, Vaibhav / Principe, Jose C. / Vuppamandla, Kalyana et al. | 2008
- 1064
-
A 1.2mW CMOS temporal-difference image sensor for sensor networksZhengming Fu, / Culurciello, Eugenio et al. | 2008
- 1068
-
A novel refractometer architectureFerguson, Brian / Kissinger, Jeff / Vaidya, Vaibhav / Wilson, Denise / Booksh, Karl / Cranney, John / Largen, Bill et al. | 2008
- 1072
-
High throughput quantification system for egg populations in caenorhabditis elegansMohamed, Mostafa / Prasad, Brinda / Badawy, Wael et al. | 2008
- 1076
-
Neuromorphic implementation of active gaze and vergence controlTsang, Eric K. C. / Lam, Stanley Y. M. / Yicong Meng, / Shi, Bertram E. et al. | 2008
- 1080
-
A handheld fluorometer for measuring cellular metabolismNelson, Nicole / Sander, David / Dandin, Marc / Sarje, Anshu / Prakash, Somashekar / Honghao Ji, / Abshire, Pamela et al. | 2008
- 1084
-
High-speed adaptive RF phased arrayLoizos, Dimitrios N. / Sotiriadis, Paul P. / Cauwenberghs, Gert et al. | 2008
- 1088
-
Distraction-related EEG dynamics in virtual reality driving simulationChin-Teng Lin, / Hong-Zhang Lin, / Tzai-Wen Chiu, / Chih-Feng Chao, / Yu-Chieh Chen, / Sheng-Fu Liang, / Li-Wei Ko, et al. | 2008
- 1092
-
Finite element modeling of tissue for optimal ultrasonic transducer array designClarke, Clyde / White, Carl / Etienne-Cummings, Ralph et al. | 2008
- 1096
-
Calibration and characterization of self-powered floating-gate sensor arrays for long-term fatigue monitoringLajnef, Nizar / Chakrabartty, Shantanu / Elvin, Niell G. et al. | 2008
- 1100
-
A Low noise CMOS image sensor with an emission filter for fluorescence applicationsBeiderman, Marianna / Tam, Terence / Fish, Alexander / Jullien, Graham. A. / Yadid-Pecht, Orly et al. | 2008
- 1104
-
Low-power differential photoplethysmographic pulse transit time detector for ambulatory cardiovascular monitoringAguilar-Pelaez, Eduardo / Rodriguez-Villegas, Esther et al. | 2008
- 1108
-
System for thermal measurement of pulse-transit-timeJames, M. / Gregori, S. / Fayek, D. et al. | 2008
- 1112
-
Application of implantable wireless biomicrosystem for monitoring electrode-nerve impedance of animal after sciatic nerve injuryYu-Ting Li, / Jia-Jin Jason Chen, / Lin, Chou-Ching K. et al. | 2008
- 1116
-
Analogue/digital interface and communications aspects in a multi-channel ENG recording asicClarke, C. T. / Taylor, J. T. / Xu, X. et al. | 2008
- 1120
-
Design of second order digital differentiator using Richardson extrapolation and fractional delayChien-Cheng Tseng, / Su-Ling Lee, et al. | 2008
- 1124
-
Gramian-preserving frequency transformation for linear discrete-time systems using normalized lattice structureShunsuke Koshita, / Satoru Tanaka, / Masahide Abe, / Masayuki Kawamata, et al. | 2008
- 1128
-
Robust analytical design of equiripple comb FIR filtersZahradnik, Pavel / Vlcek, Miroslav et al. | 2008
- 1132
-
IIR digital filter design via orthogonal projection of singular perturbational model reductionFang Wang, / Hon Keung Kwan, et al. | 2008
- 1136
-
Adaptive channel equalization: A simplified approach using the quantized-LMF algorithmOtaru, Musa U. / Zerguine, Azzedine / Cheded, Lahouari et al. | 2008
- 1140
-
Special Fault Tolerant properties of FFT-based transform domain Adaptive FiltersRadhakrishnan, C. / Jenkins, W. K. et al. | 2008
- 1144
-
A new structure for sound reproduction systemLigang Liu, / Masahiro Fukumoto, / Sachio Saiki, et al. | 2008
- 1148
-
Tracking analysis of an adaptive IIR notch filter using gradient-based algorithmMvuma, Aloys / Nishimura, Shotaro / Hinamoto, Takao et al. | 2008
- 1152
-
High resolution 2-D DOA estimation using second-order partial-differential of MUSIC spectrumKoichi Ichige, / Yoshihisa Ishikawa, / Hiroyuki Arai, et al. | 2008
- 1156
-
Design of fractional delay FIR filter using discrete Fourier transform interpolation methodChien-Cheng Tseng, / Su-Ling Lee, et al. | 2008
- 1160
-
Discrete fractional Fourier transform based on the eigenvectors of Grünbaum tridiagonal matrixHanna, Magdy Tawfik / Seif, Nabila Philip Attalla / Ahmed, Waleed Abd El Maguid et al. | 2008
- 1164
-
Novel DCT-based real-valued discrete Gabor transformLiang Tao, / Hon Keung Kwan, et al. | 2008
- 1168
-
Fast operators for arbitrary warping mapsCaporale, Salvatore / De Marchi, Luca / Speciale, Nicolo et al. | 2008
- 1172
-
Kalman filter for robust noise suppression in white and colored noisesTanabe, Nari / Furukawa, Toshihiro / Matsue, Hideaki / Tsujii, Shigeo et al. | 2008
- 1176
-
A novel algorithm for mobile station location estimation with none line of sight error using robust least M-estimationZhao, S. H. / Chan, S. C. et al. | 2008
- 1180
-
Model order selection for estimation of Common Acoustical PolesBunkheila, G. / Parisi, R. / Uncini, A. et al. | 2008
- 1184
-
A novel technique for the design and DCGA optimization of bilinear-LDI lattice-based digital IF filtersYifan Wu, / Nowrouzian, Behrouz et al. | 2008
- 1188
-
Compass tilt compensation algorithm using CORDICLaulainen, Erkka / Koskinen, Lauri / Kosunen, Marko / Halonen, Kari et al. | 2008
- 1192
-
CHStone: A benchmark program suite for practical C-based high-level synthesisYuko Hara, / Hiroyuki Tomiyama, / Shinya Honda, / Hiroaki Takada, / Katsuya Ishii, et al. | 2008
- 1196
-
Variability-aware design of subthreshold devicesJaramillo-Ramirez, Rodrigo / Jaffari, Javid / Anis, Mohab et al. | 2008
- 1200
-
Timing-driven obstacles-avoiding routing tree construction for a multiple-layer systemHsin-Hsiung Huang, / Hui-Yu Huang, / Yu-Cheng Lin, / Tsai-Ming Hsieh, et al. | 2008
- 1204
-
Thermal aware clock synthesis considering stochastic variation and correlationsChunchen Liu, / Ruei-Xi Chen, / Jichang Tan, / Fan, Sharon / Fan, Jeffrey / Kia Makki, et al. | 2008
- 1208
-
Sigma delta ADC with a dynamic reference for accurate temperature and voltage sensingSaputra, N. / Pertijs, M.A.P. / Makinwa, K.A.A. / Huijsing, J.H. et al. | 2008
- 1212
-
Enhanced multi-bit delta-sigma modulator with two-step pipeline quantizerRajaee, Omid / Un-Ku Moon, et al. | 2008
- 1216
-
Multi-loop efficient sturdy MASH delta-sigma modulatorsMaghari, Nima / Un-Ku Moon, et al. | 2008
- 1220
-
A Wide-band 2-path cross-coupled sigma delta ADCBilhan, Erkan / Maloberti, Franco et al. | 2008
- 1224
-
Design of hybrid continuous-time discrete-time delta-sigma modulatorsHing-Kit Kwan, / Siu-Hong Lui, / Chi-Un Lei, / Yansong Liu, / Ngai Wong, / Ka-Leung Ho, et al. | 2008
- 1228
-
Task partitioning algorithm for intra-task dynamic voltage scalingSeungyong Oh, / Jungsoo Kim, / Seonpil Kim, / Chong-Min Kyung, et al. | 2008
- 1232
-
Expression of Concern: Robust wide range of supply-voltage operation using continuous adaptive size-ratio gatesKirolos, Sami / Massoud, Yehia et al. | 2008
- 1236
-
Dynamic voltage and frequency scaling circuits with two supply voltagesCheng, Wayne H. / Baas, Bevan M. et al. | 2008
- 1240
-
Power optimization of weighted bit-product summation tree for elementary function generatorTahmasbi Oskuii, Saeeid / Johansson, Kenny / Gustafsson, Oscar / Kjeldsberg, Per Gunnar et al. | 2008
- 1244
-
FSMD partitioning for low power using simulated annealingAgarwal, Nainesh / Dimopoulos, Nikitas et al. | 2008
- 1248
-
Reconfigurable two-dimensional pipeline FFT processor in OFDM cognitive radio systemsShingo Yoshizawa, / Kazuto Nishi, / Yoshikazu Miyanaga, et al. | 2008
- 1252
-
A real-time systolic array processor implementation of two-dimensional IIR filters for radio-frequency smart antenna applicationsMadanayake, Arjuna / Bruton, Len T. et al. | 2008
- 1256
-
A low-complexity high-performance noncoherent receiver for GFSK signalsJinjin He, / Jian Cui, / Lianxing Yang, / Zhongfeng Wang, et al. | 2008
- 1260
-
Benefit of linearizing power amplifiers in multi-port amplifier subsystemsXinping Huang, / Caron, Mario et al. | 2008
- 1264
-
A simultaneous TX and RX I/Q imbalance calibration methodDengwei Fu, et al. | 2008
- 1268
-
Analytical solutions of the Class D inverterNerone, Louis R. et al. | 2008
- 1272
-
Improved harmonic analysis of RC-active phase shift oscillatorsTaylor, John / Clarke, Chris et al. | 2008
- 1276
-
A varactorless technique for tuning LC oscillators based on loop gain adjustmentAbdelmaksoud, Yousr M. / Sharaf, Khaled M. et al. | 2008
- 1280
-
Efficient model reduction of passive electrical networks with a large number of independent sourcesLudwig, Stefan / Radic-Weissenfeld, Ljubica / Mathis, Wolfgang / John, Werner et al. | 2008
- 1284
-
Invariant sums of higher order sensitivitiesIzydorczyk, Jacek / Chojcan, Jan et al. | 2008
- 1288
-
Digital calibration of gain and linearity in a CMOS RF mixerRodriguez, S. / Rusu, A. / Zheng, L.-R. / Ismail, M. et al. | 2008
- 1292
-
ESD protection design for fully integrated CMOS RF power amplifiers with waffle-structured SCRMing-Dou Ker, / Chun-Yu Lin, / Guo-Xuan Meng, et al. | 2008
- 1296
-
Integrated balun design for dual-band WLAN a/b/g applicationsChao Lu, / Charlon, Olivier / Bracey, Mark / Pham, Anh-Vu H. et al. | 2008
- 1300
-
Design of broadband inductorless LNAs in ultra-scaled CMOS technologiesBevilacqua, Andrea / Camponeschi, Matteo / Tiebout, Marc / Gerosa, Andrea / Neviani, Andrea et al. | 2008
- 1304
-
A new WiMAX sigma-delta modulator with constant-Q active inductorsTang, A. / Yuan, F. / Law, E. et al. | 2008
- 1308
-
Steady-state analysis of strongly nonlinear Oscillators By Means of Runge-Kutta MethodsMaffezzoni, P. / Codecasa, L. / D'Amore, D. / Santomauro, M. et al. | 2008
- 1312
-
An efficient approach to model distortion in weakly nonlinear Gm - C filtersBanerjee, Amitava / Chatterjee, Subho / Patra, Amit / Mukhopadhyay, Siddhartha et al. | 2008
- 1316
-
The effect of parameter mismatches in RF VCOBuonomo, Antonio / Lo Schiavo, Alessandro et al. | 2008
- 1320
-
Study of zero-order holder discretization in single input sliding mode control systemsGalias, Zbigniew / Xinghuo Yu, et al. | 2008
- 1324
-
Modeling and simulation of micro electromechanical (MEM) beam resonator-based oscillatorsNabki, Frederic / El-Gamal, Mourad N. et al. | 2008
- 1328
-
Approximate L0 constrained non-negative matrix and tensor factorizationMorup, Morten / Madsen, Kristoffer Hougaard / Hansen, Lars Kai et al. | 2008
- 1332
-
Non-negative matrix factorization in bioinformatics: Towards understanding biological processesPascual-Montano, Alberto et al. | 2008
- 1336
-
Group learning using contrast NMF : Application to functional and structural MRI of schizophreniaPotluru, Vamsi K. / Calhoun, Vince D. et al. | 2008
- 1340
-
Geometric structure of sum-of-rank-1 decompositions for n-dimensional order-p symmetric tensorsKyrgyzov, Olexiy / Erdogmus, Deniz et al. | 2008
- 1344
-
Algorithm for imposing SOBI-type constraints on the CP modelDe Vos, Maarten / De Lathauwer, Lieven / Van Huffel, Sabine et al. | 2008
- 1348
-
HAFT: A hybrid FPGA with amorphous and fault-tolerant architectureMingjie Lin, / Ferguson, Steve / Yaling Ma, / Greene, Timothy et al. | 2008
- 1352
-
Finding minimum interconnect sub-arrays in reconfigurable VLSI arraysWu Jigang, / Srikanthan, Thambipillai / Kai Wang, et al. | 2008
- 1356
-
An efficient greedy approach to PLA foldingBubna, Mayur / Shenoy, Naresh / Chattopadhyay, Santanu et al. | 2008
- 1360
-
VLSI implementation of a shift-enabled reconfigurable arrayMiller, Scott / Sima, Mihai / McGuire, Michael et al. | 2008
- 1364
-
An asynchronous spike event coding scheme for programmable analog arraysGouveia, Luiz Carlos / Koickal, Thomas Jacob / Hamilton, Alister et al. | 2008
- 1368
-
A study on global robust stability of delayed full-range cellular neural networksDi Marco, M. / Forti, M. / Grazzini, M. / Pancioni, L. et al. | 2008
- 1372
-
Waves and patterns in delayed oscillatory networksBonnin, Michele / Corinto, Fernando / Gilli, Marco / Civalleri, Pier Paolo et al. | 2008
- 1376
-
A nonseparable 3D spatiotemporal bandpass filter with analog networksIp, Henry M. D. / Drakakis, Emmanuel Mic. / Bharath, Anil A. et al. | 2008
- 1380
-
Spiral waves in bio-inspired oscillatory mediaCorinto, Fernando / Lanza, Valentina / Gilli, Marco et al. | 2008
- 1384
-
Robust analog neural network based on continuous valued number systemMirhassani, Mitra / Ahmadi, Majid / Jullien, Graham A. et al. | 2008
- 1388
-
A self-adapting high dynamic-range visual representation algorithm for AER imagersTiziani, Mauro / Massari, Nicola / Jawed, Syed Arsalan / Gottardi, Massimo et al. | 2008
- 1392
-
Signal-adapted directional lifting scheme for image compressionWeisheng Dong, / Guangming Shi, / Jizheng Xu, et al. | 2008
- 1396
-
3-D direction aligned wavelet transform for scalable video codingYu Liu, / King Ngi Ngan, / Feng Wu, et al. | 2008
- 1400
-
Multi-view depth video coding using depth view synthesisSang-Tae Na, / Kwan-Jung Oh, / Cheon Lee, / Yo-Sung Ho, et al. | 2008
- 1404
-
Bidirectionally decodable Wyner-Ziv video codingXiaopeng Fan, / Au, Oscar / Yan Chen, / Jiantao Zhou, / Mengyao Ma, et al. | 2008
- 1408
-
Low-power “Smart” CMOS image sensorsFish, Alexander / Yadid-Pecht, Orly et al. | 2008
- 1412
-
Low power image sensor with polymer polarization filtersGruev, Viktor / Van der Spiegel, Jan / Engheta, Nader et al. | 2008
- 1416
-
Phototransistor image sensor in silicon on sapphirePark, Joon Hyuk / Culurciello, Eugenio et al. | 2008
- 1420
-
A 256×256 separable transform CMOS imagerRobucci, Ryan / Gray, Jordan / Abramson, David / Hasler, Paul E. et al. | 2008
- 1424
-
A Sub-μW fully programmable CMOS DPS for uncooled infrared fast imagingMargarit, J. M. / Teres, L. / Serra-Graells, F. et al. | 2008
- 1428
-
Continuous-time ΔΣ modulators with noise-transfer-function enhancementAli, Furrookh / Hamoui, Anas A. et al. | 2008
- 1432
-
A new optimization approach for the automatic design of ΣΔ-modulatorsAnders, Jens / Mathis, Wolfgang / Ortmanns, Maurits et al. | 2008
- 1436
-
Multibit incremental data converters with reduced sensitivity to mismatchMehrabi, Arash / Ranjbar, Mohammad / Oliaei, Omid et al. | 2008
- 1440
-
Efficient fully-floating double-sampling integrator for ΔΣ ADCsKyehyung Lee, / Jeongseok Chae, / Temes, Gabor C. et al. | 2008
- 1444
-
Digital jitter-cancellation for narrowband signalsRutten, Robert / Breems, Lucien. J. / van Veldhoven, Robert H.M. et al. | 2008
- 1448
-
Active self supplied AC-DC converter for piezoelectric energy scavenging systems with supply independent biasDallago, E. / Miatton, D. / Venchi, G. / Bottarel, V. / Frattini, G. / Ricotti, G. / Schipani, M. et al. | 2008
- 1452
-
Low power and robust 7T dual-Vt SRAM circuitTawfik, Sherif A. / Kursun, Volkan et al. | 2008
- 1456
-
60μW SMR BAW oscillator designed in 65nm CMOS technologyDossou, S. / Abele, N. / Cesar, E. / Ancey, P. / Carpentier, J-F. / Vincent, P. / Fournier, J-M. et al. | 2008
- 1456
-
60 microW SMR BAW oscillator designed in 65 nm CMOS technologyDossou, S. / Abele, N. / Cesar, E. / Ancey, P. / Carpentier, J.F. / Vincent, P. / Fournier, J.M. et al. | 2008
- 1460
-
A low-area, low-power programmable frequency multiplier for DLL based clock synthesizersFaisal, Ibrahim / Bayoumi, Magdy A. et al. | 2008
- 1464
-
High-performance low-power AND and Sense-Amp address decoders with selective prechargingTuri, Michael A. / Delgado-Frias, Jose G. et al. | 2008
- 1468
-
Optimization techniques of AAC decoder on PACDSP VLIW processorChun-Nan Liu, / Jui-Hong Hung, / Tsung-Han Tsai, et al. | 2008
- 1472
-
Complexity-effective auditory compensation for digital hearing aidsYu-Ting Kuo, / Tay-Jyi Lin, / Wei-Han Chang, / Yueh-Tai Li, / Chih-Wei Liu, / Shuenn-Tsong Young, et al. | 2008
- 1476
-
Parallelism to reduce power consumption on FPGA spatiotemporal image processingAtabany, W. / Degenaar, P. et al. | 2008
- 1480
-
Expression of Concern: On the feasibility of hardware implementation of sub-Nyquist random-sampling based analog-to-information conversionPfetsch, Stephen / Ragheb, Tamer / Laska, Jason / Nejati, Hamid / Gilbert, Anna / Strauss, Martin / Baraniuk, Richard / Massoud, Yehia et al. | 2008
- 1484
-
Efficient residue arithmetic based parallel fixed coefficient FIR filtersConway, Richard et al. | 2008
- 1488
-
Delta discrete-time operator based realization procedure for low sensitivity sampled-data and digital ladder filtersI-Hung Khoo, / Reddy, Hari C. / Moschytz, George S. et al. | 2008
- 1492
-
Design of highly linear multipliers using floating gate transistors and/or source degeneration resistorGarimella, Sri Raga Sudha / Ramirez-Angulo, J. / Lopez-Martin, A. / Carvajal, R.G. et al. | 2008
- 1496
-
On-chip RC measurement and calibration circuit using Wheatstone bridgePutter, B.M. et al. | 2008
- 1500
-
Direct-form SC filters with low frequency response sensitivity to the transfer function coefficientsPetraglia, A. / Pontes, F. C. / Baruqui, F.A.P. et al. | 2008
- 1504
-
A fast compact CMOS feedforward automatic gain control circuitAlegre, J. P. / Calvo, B. / Celma, S. et al. | 2008
- 1508
-
Impulse based scheme for crystal-less ULP radiosSebastiano, Fabio / Drago, Salvatore / Breems, Lucien / Leenaerts, Domine / Makinwa, Kofi / Nauta, Bram et al. | 2008
- 1512
-
Efficient spacing scheme for a linearly interpolated lookup table predistorterBa, Seydou N. / Waheed, Khurram / Tong Zhou, G. et al. | 2008
- 1516
-
A tool for the fast distortion evaluation of non linear amplifiers in broadband transmission systemsRenna, Francesco / Marsili, Stefano et al. | 2008
- 1520
-
Efficient coarse frequency synchronizer using serial correlator for DVB-S2Park, Jang Woong / Hyoung Jin Yun, / Myung Hoon Sunwoo, / Pansoo Kim, / Dae-Ig Chang, et al. | 2008
- 1524
-
Design and implementation of a fully reconfigurable chipless RFID tag using Inkjet printing technologyLinlin Zheng, / Rodriguez, Saul / Lu Zhang, / Botao Shao, / Li-Rong Zheng, et al. | 2008
- 1528
-
Predictive control algorithm for phase-locked loopsAbusleme, Angel / Murmann, Boris et al. | 2008
- 1532
-
A phase-frequency detector and a charge pump design for PLL applicationsMilicevic, Sinisa / MacEachern, Leonard et al. | 2008
- 1536
-
An alias-locked loop frequency synthesis architecturevan den Berg, Leendert / Elliott, Duncan G. et al. | 2008
- 1540
-
Modeling and simulation of PLL-based frequency-synthesizers for FMCW radarPichler, Markus / Stelzer, Andreas / Seisenberger, Claus et al. | 2008
- 1544
-
Phase jitter dynamics of first-order digital phase-locked loops with frequency-modulated inputTertinek, Stefan / Teplinsky, Alexey / Feely, Orla et al. | 2008
- 1548
-
A CMOS image sensor with spiking pixels for retinal stimulationYan Huang, / Drakakis, Emmanuel M. / Toumazou, Chris / Degenaar, Patrick et al. | 2008
- 1552
-
A programmable ENG amplifier with passive EMG neutralization for FES applicationsDemosthenous, Andreas / Dai Jiang, / Pachnis, Ioannis / Xiao Liu, / Rahal, Mohamad / Donaldson, Nick et al. | 2008
- 1556
-
A wideband PWM-FSK receiver for wireless implantable neural recording applicationsMing Yin, / Ghovanloo, Maysam et al. | 2008
- 1560
-
Low-power IC design for a wireless BCI systemMing Liu, / Hong Chen, / Run Chen, / Zhihua Wang, et al. | 2008
- 1564
-
Adjusting the neurons models in neuromimetic ICs using the voltage-clamp techniqueSaighi, Sylvain / Buhry, Laure / Bornat, Yannick / N'Kaoua, Gilles / Tomas, Jean / Renaud, Sylvie et al. | 2008
- 1568
-
Innovative power gating for leakage reductionChowdhury, Masud H. / Gjanci, Juliana / Khaled, Pervez et al. | 2008
- 1572
-
Analysis and performance evaluation of area-efficient true random bit generators on FPGAsAlioto, Massimo / Fondelli, Luca / Rocchi, Santina et al. | 2008
- 1576
-
Utilizing synthesis to verify Boolean function modelsBeg, Azam / Prasad, P.W.C. / Ibrahim, Walid / Shama, Emad Abu et al. | 2008
- 1580
-
Multi-clock pipeline structure for 802.11 a WLAN transceiverMizani, Maryam / Rakhmatov, Daler et al. | 2008
- 1584
-
Compact designs of mixcolumns and subbytes using a novel common subexpression elimination algorithmNing Chen, / Zhiyuan Yan, et al. | 2008
- 1588
-
Implementation of a CNN-based perceptual framework on a roving robotArena, P. / De Fiore, S. / Fortuna, L. / Lombardo, D. / Patane, L. et al. | 2008
- 1592
-
ASPA: Focal Plane digital processor array with asynchronous processing capabilitiesLopich, Alexey / Dudek, Piotr et al. | 2008
- 1596
-
Pixel parallel vessel tree extraction for a personal authentication systemAlonso-Montes, C. / Ortega, M. / Penedo, M. G. / Vilarino, D. L. et al. | 2008
- 1600
-
Focal-plane moving object segmentation for realtime video surveillanceVilarino, David Lopez / Dudek, Piotr / Ferrer, Diego Cabello et al. | 2008
- 1604
-
Centroiding and classification of objects using a processor array with a scalable region of interestLaiho, Mika / Poikonen, Jonne / Paasio, Ari / Halonen, Kari et al. | 2008
- 1608
-
Super resolution of video using key framesBrandi, Fernanda / de Queiroz, Ricardo / Mukherjee, Debargha et al. | 2008
- 1612
-
A rate and distortion analysis for H.264/AVC video codingZhenzhong Chen, et al. | 2008
- 1616
-
Complexity modeling of H.264/AVC CAVLC/UVLC entropy decodersLee, Szu-Wei / Kuo, C.-C. Jay et al. | 2008
- 1620
-
L-shaped segmentations in motion-compensated prediction of H.264Sijia Chen, / Qichao Sun, / Xiaoyang Wu, / Lu Yu, et al. | 2008
- 1624
-
Adaptive downsampling/upsampling for better video compression at low bit rateViet-Anh Nguyen, / Yap-Peng Tan, / Weisi Lin, et al. | 2008
- 1628
-
A hybrid CMOS APS pixel for wide-dynamic range imaging applicationsAy, Suat U. et al. | 2008
- 1632
-
A novel DPS integrator for fast CMOS imagersMargarit, J. M. / Sabadell, J. / Teres, L. / Serra-Graells, F. et al. | 2008
- 1636
-
Predictive coding on-sensor compressionLeon-Salas, Walter D. / Balkir, Sina / Schemm, Nathan / Hoffman, Michael W. / Sayood, Khalid et al. | 2008
- 1640
-
An analog self-similitude edge-filtering processor for multiple-resolution image perceptionNorihiro Takahashi, / Kazuhide Fujita, / Tadashi Shibata, et al. | 2008
- 1644
-
A 64×64 pixel temporal contrast microbolometer infrared sensorMatolin, Daniel / Posch, Christoph / Wohlgenannt, Rainer / Maier, Thomas et al. | 2008
- 1648
-
A/D conversion using an Asynchronous Delta-Sigma Modulator and a time-to-digital converterDaniels, Jorg / Dehaene, Wim / Steyaert, Michiel / Wiesbauer, Andreas et al. | 2008
- 1652
-
A subsampling bandpass ΣΔ modulator with lumped and distributed resonatorsHernandez, Luis / Prefasi, Enrique et al. | 2008
- 1652
-
A subsampling bandpass Sigma Delta modulator with lumped and distributed resonatorsHernandez, L. / Prefasi, E. et al. | 2008
- 1656
-
Band-pass ΣΔ architectures with single and two parallel pathsCaracciolo, Herve / Galdi, Ivano / Bonizzoni, Edoardo / Maloberti, Franco et al. | 2008
- 1660
-
An ultra low-voltage multibit delta-sigma modulator for audio-band applicationChien-Hung Kuo, / Huai-Juan Xie, et al. | 2008
- 1664
-
Digitally-enhanced 2nd-order ΔΣ modulator with unity-gain signal transfer functionHamoui, Anas A. / Sukhon, Mohamad / Maloberti, Franco et al. | 2008
- 1668
-
A low power, process invariant keeper for high speed dynamic logic circuitsRakesh Gnana, David J. / Bhat, Navakanta et al. | 2008
- 1672
-
A low power 90-nm CMOS motion estimation processor implementing dynamic voltage and frequency scaling (DVFS) and fast motion estimation algorithmNobuaki Kobayashi, / Tadayoshi Enomoto, et al. | 2008
- 1676
-
A novel hardware acceleration scheme for java method callsSantti, Tero / Tyystjarvi, Joonas / Plosila, Juha et al. | 2008
- 1680
-
Address compression for scalable load/store queue implementationYi-Ying Tsai, / Chia-Jung Hsu, / Chung-Ho Chen, et al. | 2008
- 1684
-
Fault tolerant bit parallel finite field multipliers using LDPC codesMathew, J. / Singh, J. / Jabir, A. M. / Hosseinabady, M. / Pradhan, D. K. et al. | 2008
- 1688
-
Fast and memory efficient VLSI architecture for output probability computations of HMM-based recognition systemsKazuhiro Nakamura, / Masatoshi Yamamoto, / Kazuyoshi Takagi, / Naofumi Takagi, et al. | 2008
- 1692
-
Pulse width and position modulation for fully digital audio amplifierAkihiko Yoneya, et al. | 2008
- 1696
-
Direction of arrival estimation for speech sources using fourth order cross cumulantsSwartling, Mikael / Sallberg, Benny / Grbic, Nedelko et al. | 2008
- 1700
-
Speech enhancement based on adaptive wavelet denoising on multitaper spectrumTai-Chiu Hsung, / Pak-Kong Lun, Daniel et al. | 2008
- 1704
-
A spectro-temporal algorithm for pitch frequency estimation from noisy observationsShahnaz, C. / Zhu, W.-P. / Ahmad, M.O. et al. | 2008
- 1708
-
An adjustable CMOS floating resistorLi Wang, / Newcomb, Robert W. et al. | 2008
- 1712
-
Comparison of programmable linear resistors based on quasi-floating gate MOSFETsTorralba, A. / Galan, J. / Lujan-Martinez, C. / Carvajal, R.G. / Ramirez-Angulo, Jaime / Lopez-Martin, Antonio et al. | 2008
- 1716
-
“The flipped voltage follower”-based low voltage fully differential CMOS sample-and-hold circuitFayomi, Christian Jesus B. / Wirth, Gilson I. / Ramirez-Angulo, Jamine / Matsuzawa, Akira et al. | 2008