On the structured parallelism of decoders for LDPC convolutional codes - an algebraic description (English)
- New search for: Tavares, Marcos B.S.
- New search for: Matus, Emil
- New search for: Fettweis, Gerhard P.
- New search for: Tavares, Marcos B.S.
- New search for: Matus, Emil
- New search for: Fettweis, Gerhard P.
In:
2009 IEEE International Symposium on Circuits and Systems
;
2453-2456
;
2009
- Conference paper / Electronic Resource
-
Title:On the structured parallelism of decoders for LDPC convolutional codes - an algebraic description
-
Contributors:
-
Published in:
-
Publisher:
- New search for: IEEE
-
Publication date:2009-05-01
-
Size:675817 byte
-
ISBN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
A fast-lock synchronous multi-phase clock generator based on a time-to-digital converterShin, Dongsuk / Koo, Jabeom / Yun, Won-Joo / Choi, Young Jung / Kim, Chulwoo et al. | 2009
- 5
-
Low-power clock reference circuit for intermittent operation of subthreshold LSIsUeno, Ken / Asai, Tetsuya / Amemiya, Yoshihito et al. | 2009
- 9
-
Hybrid BiST solution for Analog to Digital Converters with low-cost Automatic Test Equipment compatibilityDasnurkar, Sachin / Abraham, Jacob A. et al. | 2009
- 13
-
Cost effective signal generators for ADC BISTDuan, Jingbo / Chen, Degang / Geiger, Randall et al. | 2009
- 17
-
A low energy two-step successive approximation algorithm for ADC designChoi, Ricky Yiu-kee / Tsui, Chi-ying et al. | 2009
- 21
-
A new and efficient approach for high-speed and very compact realization of Secure Hash AlgorithmShahmoradi, Abbas / Masoumi, Massoud et al. | 2009
- 25
-
Flexible GF(2m) divider design for cryptographic applicationsLin, Wen-Ching / Shieh, Ming-Der / Wu, Chien-Ming et al. | 2009
- 29
-
An improved publicly detectable watermarking scheme based on scan chain orderingCui, Aijiao / Chang, Chip-Hong et al. | 2009
- 33
-
A low-complexity high-speed QR decomposition implementation for MIMO receiversPatel, Dimpesh / Shabany, Mahdi / Gulak, P. Glenn et al. | 2009
- 37
-
A robust radio frequency identification system enhanced with spread spectrum techniqueZhu Qiuling, / Zhang Chun, / Liu Zhongqi, / Wang Jingchao, / Li Fule, / Wang Zhihua, et al. | 2009
- 41
-
1-bit digital tuning of continuous-time filter by the use of unstable sigma-delta modulationKuang, Wensheng V. / Wight, Jim et al. | 2009
- 45
-
Tuning elliptic filters with a ‘tuning biquad’Jurisic, Drazen / Mijat, Neven / Moschytz, George S. et al. | 2009
- 49
-
Capacitive crosscoupling biquad polyphase filterRobens, Markus / Wunderlich, Ralf / Heinen, Stefan et al. | 2009
- 53
-
Compact lowpass ladder filters using tapped coilsKrishnapura, Nagendra / Gupta, Varun / Agrawal, Neetin et al. | 2009
- 57
-
New CMOS fully differential current conveyor and its application in realizing sixth order complex filterSoliman, Eman A. / Mahmoud, Soliman A. et al. | 2009
- 61
-
Ballistic deflection transistors and the emerging nanoscale eraWolpert, David / Irie, Hiroshi / Sobolewski, Roman / Ampadu, Paul / Diduck, Quentin / Margala, Martin et al. | 2009
- 65
-
Fabrication and characterization of emerging nanoscale memoryKim, SangBum / Yuan Zhang, / Byoungil Lee, / Caldwell, Marissa / Wong, H.-S. Philip et al. | 2009
- 69
-
Graphene devices, interconnect and circuits — challenges and opportunitiesStan, Mircea R. / Unluer, Dincer / Ghosh, Avik / Tseng, Frank et al. | 2009
- 73
-
Perspectives and issues in 3D-IC from designers' point of viewFujita, Shinobu / Abe, Keiko / Nomura, Kumiko / Yasuda, Shin'ichi / Tanamoto, Tetsufumi et al. | 2009
- 77
-
Adaptive design for nanometer technologyDas, Shidhartha / Blaauw, David et al. | 2009
- 81
-
Direct design of orthogonal filter banks and waveletsLu, Wu-Sheng / Hinamoto, Takao et al. | 2009
- 85
-
Design of nonsubsampled directional filter banks with arbitrary number of channelsShi, G. M. / Liang, L. L. / Xie, X. M. et al. | 2009
- 89
-
On the filter design for a class of multimode transmultiplexersEghbali, Amir / Johansson, Hakan / Lowenborg, Per et al. | 2009
- 93
-
A new VLSI 2-D fourfold-rotational-symmetry filter architecture designChen, Pei-Yu / Van, Lan-Da / Reddy, Hari C. / Lin, Chin-Teng et al. | 2009
- 97
-
Neural network classifiers and Principal Component Analysis for blind signal to noise ratio estimation of speech signalsMarbach, Matthew / Ondusko, Russell / Ramachandran, Ravi P. / Head, Linda M. et al. | 2009
- 101
-
Biologically plausible speech recognition using spike-based phase locking cuesUysal, Ismail / Harris, John G. et al. | 2009
- 105
-
Second order cone programming (SOCP) relaxations for large margin HMMs in speech recognitionYan Yin, / Hui Jiang, et al. | 2009
- 109
-
Periodicity detection and localization using spike timing from the AER EARYu, Theodore / Schwartz, Andrew / Harris, John / Slaney, Malcolm / Liu, Shih-Chii et al. | 2009
- 113
-
Non-linear filtering in reproducing Kernel Hilbert Spaces for noise-robust speaker verificationFazel, Amin / Chakrabartty, Shantanu et al. | 2009
- 117
-
Broadcast of scalable video over wireless networksYu Wang, / Chau, Lap-Pui / Yap, Kim-Hui et al. | 2009
- 121
-
Modified layered message passing decoding with dynamic scheduling and early termination for QC-LDPC codesUeng, Yeong-Luh / Wang, Yu-Lun / Lin, Chi-Yu / Hsu, Jen-Yuan / Pangan Ting, et al. | 2009
- 125
-
Unequal Error Protection for backward compatible 3-D video transmission over WiMAXHewage, Chaminda T.E.R. / Ahmad, Z. / Worrall, Stewart T. / Dogan, S. / Fernando, W.A.C. / Kondoz, A. et al. | 2009
- 129
-
Error concealment for spatially Scalable Video Coding using hallucinationQirong Ma, / Feng Wu, / Sun, Ming-Ting et al. | 2009
- 133
-
Side match distortion based adaptive error concealment order for 1Seg video broadcasting applicationWang, Jun / Tang, Yichun / Li, Shen / Ishiwata, Shunichi / Goto, Satoshi et al. | 2009
- 137
-
A segmental bus-invert coding method for instruction memory data bus power efficiencyJi Gu, / Hui Guo, et al. | 2009
- 141
-
Crosstalk avoidance and error-correction coding for coupled RLC interconnectsRahaman, Md. Sajjad / Chowdhury, Masud H. et al. | 2009
- 145
-
A convolutional code for on-chip interconnect Crosstalk ReductionCourtay, Antoine / Boutillon, Emmanuel / Laurent, Johann et al. | 2009
- 149
-
A CMOS implementation of a spike event coding scheme for analog arraysGouveia, Luiz Carlos / Koickal, Thomas Jacob / Hamilton, Alister et al. | 2009
- 153
-
Low-memory cost belief propagation architecture for disparity estimationTseng, Yu-Cheng / Chang, Nelson Yen-Chung / Chang, Tian-Sheuan et al. | 2009
- 157
-
Infrasonic power-harvesting and nanowatt self-powered sensorsChakrabartty, Shantanu / Lajnef, Nizar et al. | 2009
- 161
-
Application of halftoning algorithms to location dependent sensor placementVerma, Dinesh Chandra / Wu, Chai Wah / Brown, Theodore / Bar-Noy, Amotz / Shamoun, Simon / Nixon, Mark et al. | 2009
- 165
-
Optimal visual sensor planningZhao, Jian / Cheung, Sen-ching S. et al. | 2009
- 169
-
A sensor network for real-time acoustic scene analysisKwon, Homin / Krishnamoorthi, Harish / Berisha, Visar / Spanias, Andreas et al. | 2009
- 173
-
Cooperative path planner for UAVs using ACO algorithm with Gaussian distribution functionsCheng, Chi-Tsun / Fallahi, Kia / Leung, Henry / Tse, Chi K. et al. | 2009
- 177
-
Design and optimization of a 71 Gb/s injection-locked CDRMukherjee, Tonmoy S. / Omer, Mohammad / Jihwan Kim, / Kornegay, Kevin T. et al. | 2009
- 181
-
Sense amplifier power and delay characterization for operation under low-Vdd and low-voltage clock swingTao Jiang, / Chiang, Patrick Y. et al. | 2009
- 185
-
A spread spectrum clock generator with spread ratio error reduction scheme for DisplayPort main linkLee, Won-Young / Kim, Lee-Sup et al. | 2009
- 189
-
A highly sensitive 2.5 Gb/s transimpedance amplifier in CMOS technologyAznar, F. / Gaberl, W. / Zimmermann, H. et al. | 2009
- 193
-
Reduction of loop delay for digital symbol timing recovery systems using asynchronous equalizationChien, Ying-Ren / Lin, Chu-Yun / Tsao, Hen-Wai et al. | 2009
- 197
-
Effects of LO phase and amplitude imbalances and phase noise on M-QAM transceiver performanceZhenqi Chen, / Dai, Fa Foster et al. | 2009
- 201
-
Effect of common carrier frequency offset at the OFDMA receiverChang, Dah-Chung / Lai, Yen-Heng / Hsu, Yi-Chia et al. | 2009
- 205
-
Dynamic precision scaling for low power WCDMA receiverNguyen, Hai-Nam / Menard, Daniel / Sentieys, Olivier et al. | 2009
- 209
-
Design of a power-aware digital image rejection receiverCetin, Ediz / Kale, Izzet / Morling, Richard C. S. et al. | 2009
- 213
-
A tree-structured non-uniform filter bank for multi-standard wireless receiversMahesh, R. / Vinod, A. P. / Tan, B. Y. / K-Lai, Edmund M. et al. | 2009
- 217
-
A noise-canceling CMOS LNA design for the upper band of UWB DS-CDMA receiversMirvakili, Ali / Yavari, Mohammad et al. | 2009
- 221
-
An inductor-coupling resonated CMOS low noise amplifier for 3.1–10.6GHz ultra-wideband systemHuang, Zhe-Yang / Huang, Che-Cheng / Chen, Chun-Chieh / Hung, Chung-Chih / Chen, Chia-Min et al. | 2009
- 225
-
A low power UWB-LNA using active dual loop negative feedback in CMOS 0.13µmVisweswaran, Akshay / Serdijn, Wouter A. et al. | 2009
- 229
-
UWB LNAs for ground penetrating radarRobens, Markus / Wunderlich, Ralf / Heinen, Stefan et al. | 2009
- 233
-
A time-interleaved sampling delay circuit for IR UWB receiversZhao, Duan / Serdijn, Wouter A. et al. | 2009
- 237
-
An eCrystal oscillator with self-calibration capabilityYu, Chien-Ying / Yu, Jui-Yuan / Lee, Chen-Yi et al. | 2009
- 241
-
A robust synchronized 2N2P LC oscillator with a shut-down mode for adiabatic logic circuitsTeichmann, Philip / Fischer, Jurgen / Schmitt-Landsiedel, Doris et al. | 2009
- 245
-
Low-power frequency selective filteringLiu, Renfei / Parhi, Keshab K. et al. | 2009
- 249
-
Low-power and high-SFDR direct digital frequency synthesizer based on hybrid CORDIC algorithmSung, Tze-Yun / Ko, Lyu-Ting / Hsin, Hsi-Chin et al. | 2009
- 253
-
Parabolic synthesis methodology implemented on the sine functionHertz, Erik / Nilsson, Peter et al. | 2009
- 257
-
A fully integrated high-pass filter for very low frequency applicationsDe Lima, Jader A. / Pimenta, Wallace A. et al. | 2009
- 261
-
A digitally assisted baseband filter with 9MHz bandwidth and 0.3 dB IQ mismatch for a WLAN receiver chainDarwhekar, Yogesh / Kumar, Rakesh / Sahu, Debapriya / Pavan, Shanthi / Lachhwani, Ashish / Krishnaswamy, T / Mukherjee, Subhashish et al. | 2009
- 265
-
A Field Programmable Analog Array using floating gates for high resolution tuningHenrici, Fabian / Becker, Joachim / Trendelenburg, Stanis / DeDorigo, Daniel / Ortmanns, Maurits / Manoli, Yiannos et al. | 2009
- 269
-
Analog implementation of SNR based gain adaptation for denoisingMalladi, Krishna T. / Anderson, David V. et al. | 2009
- 273
-
Design and implementation of parallel-IDT surface acoustic waves (SAW) low loss RF filtersOu, Hsu-Cheng / Zaghloul, Mona et al. | 2009
- 277
-
On the complexity reduction of polyphase linear phase FIR filters with symmetric coefficient implementationYu, Ya Jun / Shi, Dong / Bregovic, Robert et al. | 2009
- 281
-
The design of computationally efficient narrowband and wideband sharp FIR filtersYang, Chun Zhu / Lim, Yong Ching / Lian, Yong et al. | 2009
- 285
-
Digital filter design optimization using partial cost functionsQuelhas, Mauricio F. / Petraglia, Antonio et al. | 2009
- 289
-
Significant improvements in translating the Parks-McClellan Algorithm from its FORTRAN code to its corresponding MATLAB codeAhsan, Muhammad / Saramaki, Tapio et al. | 2009
- 293
-
Wideband linear-phase FIR differentiators utilizing multirate and frequency-response masking techniquesSheikh, Zaka Ullah / Johansson, Hakan et al. | 2009
- 297
-
Analysis and design of biological circuits and systemsKoeppl, Heinz / Setti, Gianluca et al. | 2009
- 301
-
Combinational logic design in Synthetic BiologyDensmore, Douglas / Anderson, J. Christopher et al. | 2009
- 305
-
An algorithm for the construction of synthetic self synchronizing biological circuitsRusso, Giovanni / di Bernardo, Mario et al. | 2009
- 309
-
Synthetic gene network computational designMarchisio, Mario Andrea / Stelling, Jorg et al. | 2009
- 313
-
Polarization-analyzing CMOS image sensor using monolithically embedded polarizer for microchemistry systemsTokuda, Takashi / Yamada, Hirofumi / Sasagawa, Kiyotaka / Ohta, Jun et al. | 2009
- 317
-
On the usability of practical stable n-D systems for signal processing applicationsSchauland, S. / Velten, J. / Kummert, A. / Galkowski, K. et al. | 2009
- 321
-
Realization of 3-D separable-denominator digital filters with very low l2-sensitivityHinamoto, Takao / Tanaka, Osamu / Lu, Wu-Sheng et al. | 2009
- 325
-
Uncertainty principle of the second-order LPFTXiumei Li, / Guoan Bi, et al. | 2009
- 329
-
High-frequency systolic broadband beamforming using polyphase 3D IIR frequency-planar digital filters with interleaved A/D samplingArjuna Madanayake, H.L.P. / Gunaratne, Thushara K. / Bruton, Len T. et al. | 2009
- 333
-
On the cross-terms in LPPsXinbo Li, / Youyi Wang, / Guoan Bi, / Yaowu Shi, / Xiumei Li, et al. | 2009
- 337
-
A pulse-based amplifier and data converter for bio-potentialsWei Tang, / Culurciello, Eugenio et al. | 2009
- 341
-
An active approach for charge balancing in functional electrical stimulationKriangkrai Sooksood, / Stieglitz, Thomas / Ortmanns, Maurits et al. | 2009
- 345
-
Low-voltage high CMRR OTA for electrophysiological measurementsMitra, Srinjoy / Zele, Rajesh / Etienne-Cummings, Ralph et al. | 2009
- 349
-
Analog VLSI neuromorphic network with programmable membrane channel kineticsYu, Theodore / Cauwenberghs, Gert et al. | 2009
- 353
-
Impedance-to-digital converter for sensor array microsystemsXiaowen Liu, / Rairigh, Daniel / Chao Yang, / Mason, Andrew J. et al. | 2009
- 357
-
A VLSI system architecture for optical flow computationBhattacharya, Koustav / Venkataraman, Mahalingam / Ranganathan, Nagarajan et al. | 2009
- 361
-
Memory analysis for H.264/AVC scalable extension encoderChen, Tzu-Yu / Li, Gwo-Long / Chang, Tian-Sheuan et al. | 2009
- 365
-
A branch selection multi-symbol high throughput CABAC decoder architecture for H.264/AVCLin, Pin-Chih / Chuang, Tzu-Der / Chen, Liang-Gee et al. | 2009
- 369
-
Design a co-processor for Output Probability Calculation in speech recognitionLi, Peng / Tang, Hua et al. | 2009
- 373
-
Novel pipelined DWT architecture for dual-line scanSong, Jinook / Park, In-Cheol et al. | 2009
- 377
-
Impacts of NBTI and PBTI on power-gated SRAM with high-k metal-gate devicesYang, Hao-I / Chuang, Ching-Te / Hwang, Wei et al. | 2009
- 381
-
A precise Negative Bias Temperature Instability sensor using slew-rate monitor circuitryGhosh, Amlan / Brown, Richard B. / Rao, Rahul M. / Chuang, Ching-Te et al. | 2009
- 385
-
Improvement on ESD robustness of lateral DMOS in high-voltage CMOS ICs by body current injectionChen, Wen-Yi / Ker, Ming-Dou / Jou, Yeh-Ning / Huang, Yeh-Jen / Lin, Geeng-Lih et al. | 2009
- 389
-
Low-power 7.2 GHz complementary all-N-transistor logic using 90 nm CMOS technologyHsu, Chia-Hao / Sung, Gang-Neng / Yao, Tuo-Yu / Juan, Chun-Ying / Lin, Yain-Reu / Wang, Chua-Chin et al. | 2009
- 393
-
Adiabatic SRAM with a large margin of VT variation by controlling the cell-power-line and word-line voltageNakata, S. / Kusumoto, T. / Miyama, M. / Matsuda, Y. et al. | 2009
- 397
-
UWB-IR receiver with accurate time-interval-measurement circuit for communication/location systemNakagawa, T. / Fujiwara, R. / Ono, G. / Miyazaki, M. et al. | 2009
- 401
-
A single-chip 33pJ/pulse 5th-derivative Gaussian based IR-UWB transmitter in 0.13µm CMOSQin, Bo / Chen, Hongyi / Wang, Xin / Wang, Albert / Hao, Yinghui / Yang, Lee / Zhao, Bin et al. | 2009
- 405
-
A novel tunable UWB pulse design for narrowband interference suppression implemented in BiCMOS technologyHedayati, H. / Fotowat-Ahmady, A. et al. | 2009
- 409
-
An OFDM baseband receiver for short-range communication at 60 GHzPiz, Maxim / Krstic, Milos / Ehrig, Marcus / Grass, Eckhard et al. | 2009
- 413
-
Efficient self-calibration of frequency-dependent I/Q-imbalance in direct-conversion OFDM transceiversLuo, Jian / Kortke, Andreas / Keusgen, Wilhelm et al. | 2009
- 417
-
Adaptive CMOS LNAs for beyond-3G RF receivers - A multi-standard GSM/WCDMA/BT/WLAN case studyBecerra-Alvarez, Edwin C. / Sandoval-Ibarra, Federico / de la Rosa, Jose M. et al. | 2009
- 421
-
A wideband LNA with active balun for DVB-T applicationHuang, Guochi / Kim, Seong-Kyun / Kim, Byung-Sung et al. | 2009
- 425
-
Combined LNA and mixer circuits for 2.4 GHz ISM bandMartins, Miguel A. / Oliveira, Luis B. / Fernandes, Jorge R. et al. | 2009
- 429
-
Twisted transformers for low coupling RF and mixed signal applicationsNeihart, Nathan M. / Allstot, David J. / Miller, Matt / Rakers, Pat et al. | 2009
- 433
-
An open-loop octave-phase local-oscillator generator with high-precision correlated phases for VHF/UHF mobile-TV tunersUn, Ka-Fai / Mak, Pui-In / Martins, R. P. et al. | 2009
- 437
-
Efficient VLSI design of a reverse RNS converter for new flexible 4-moduli set (2p+k, 2p+1, 2p−1, 22p+1)Kuo, Yuan-Ching / Lin, Su-Hon / Sheu, Ming-Hwa / Wu, Jia-You / Wang, Peng-Siang et al. | 2009
- 441
-
Fixed and variable multi-modulus squarer architectures for triple moduli base of RNSMuralidharan, Ramya / Chang, Chip-Hong et al. | 2009
- 445
-
A new decimal antilogarithmic converterChen, Dongdong / Zhang, Yu / Teng, Daniel / Wahid, Khan / Lee, Moon Ho / Ko, Seok-Bum et al. | 2009
- 449
-
Adder circuits with transistors using independently controlled gatesWeis, Marcus / Pfitzner, Andrzej / Kasprowicz, Dominik / Emling, Rainer / Maly, Wojciech / Schmitt-Landsiedel, Doris et al. | 2009
- 453
-
New approach to LUT implementation and accumulation for memory-based multiplicationMeher, Pramod Kumar et al. | 2009
- 457
-
Continuous-time signal processing with time-variant delaySotiriadis, Paul P. / Adams, Robert et al. | 2009
- 461
-
A note of frequency-domain characterization of linear time-varying networksErfani, Shervin / Bayan, Nima et al. | 2009
- 465
-
Simulation study of Time-Average-Frequency based clock signal driving systems with embedded Digital-to-Analog ConvertersXiu, Liming / Huang, Chen-Wei / Ping Gui, et al. | 2009
- 469
-
Transient analysis of nonlinear settling behavior in charge-pump phase-locked loop designRui He, / Li, Jun / Rhee, Woogeun / Wang, Zhihua et al. | 2009
- 473
-
A novel technique for the design and DCGA optimization of guaranteed BIBO stable Jaumann digital IF filters over the CSD multiplier coefficient spaceMarquez-Stricker, Francisco / Wu, Yifan / Nowrouzian, Behrouz et al. | 2009
- 477
-
Minimax design of IIR digital filters using SDP relaxation techniqueJiang, Aimin / Kwan, Hon Keung et al. | 2009
- 481
-
Low-order fixed denominator IIR VFD filter designKwan, Hon Keung / Jiang, Aimin et al. | 2009
- 485
-
Design of fractional delay FIR filter using radial basis functionTseng, Chien-Cheng / Lee, Su-Ling et al. | 2009
- 489
-
Scaling of fractional delay filters based on the Farrow structureAbbas, Muhammad / Gustafsson, Oscar / Johansson, Hakan et al. | 2009
- 493
-
Analysis of time-varying collusion attacks in fingerprinting systems: Capacity and throughputCha, Byung-Ho / Kuo, C.-C. Jay et al. | 2009
- 497
-
Accurate detection of demosaicing regularity from output imagesCao, Hong / Kot, Alex C. et al. | 2009
- 501
-
A steganographic scheme based on wet paper codes suitable for uniformly distributed wet pixelsChang, Chin-Chen / Chou, Yung-Chen / Lin, Chia-Chen et al. | 2009
- 505
-
Efficient collusion attack-free access control for multidimensionally hierarchical scalability contentImaizumi, Shoko / Fujiyoshi, Masaaki / Kiya, Hitoshi et al. | 2009
- 509
-
Sharing multiple secrets using visual cryptographyWeir, Jonathan / Yan, WeiQi et al. | 2009
- 513
-
Direct sequential evaluation of Hermite-Gaussian-like eigenvectors of the DFT matrixHanna, Magdy Tawfik et al. | 2009
- 517
-
A new bivariate MAP estimator for DT-CWT-based video denoisingRahman, S. M. Mahbubur / Ahmad, M. Omair / Swamy, M. N. S. et al. | 2009
- 521
-
An O(n) Residue Number System to Mixed Radix Conversion techniqueGbolagade, Kazeem Alagbe / Cotofana, Sorin Dan et al. | 2009
- 525
-
Statistics and dependency analysis of the uniform discrete curvelet coefficients and hidden Markov tree modelingRakvongthai, Yothin / Oraintara, Soontorn et al. | 2009
- 529
-
On the distributions of the relative phase of complex wavelet coefficientsVo, An / Oraintara, Soontorn et al. | 2009
- 533
-
Load optimization of an inductive power link for remote powering of biomedical implantsSilay, K. M. / Dondi, D. / Larcher, L. / Declercq, M. / Benini, L. / Leblebici, Y. / Dehollain, C. et al. | 2009
- 537
-
Novel coils topology intended for biomedical implants with multiple carrier inductive linkSimard, Guillaume / Sawan, Mohamad / Massicotte, Daniel et al. | 2009
- 541
-
Remote frequency calibration of passive wireless microsensors and transponders using injection-locked phase-locked loopSoltani, Nima / Fei Yuan, et al. | 2009
- 545
-
Nanopower sampled data wavelet filter design using Switched Gain Cell techniqueSawigun, Chutham / Grashuis, Michiel / Peeters, Ralf / Serdijn, Wouter et al. | 2009
- 549
-
Closed-loop eyelid reanimation system with real-time blink detection and electrochemical stimulation for facial nerve paralysisChen, Kuanfu / Chen, Tung-Chien / Cockerham, Kimberly / Liu, Wentai et al. | 2009
- 553
-
A robust spike-based gas identification technique for SnO2 gas sensorsNg, Kwan Ting / Chen, Hung Tat / Boussaid, Farid / Bermak, Amine / Martinez, Dominique et al. | 2009
- 557
-
An adaptive CMOS-based PG-ISFET for pH sensingGeorgiou, Pantelis / Toumazou, Chris et al. | 2009
- 561
-
Nano-wire dual layer polarization filterGruev, Viktor / Van der Spiegel, Jan / Engheta, Nader et al. | 2009
- 565
-
A neuromorphic head direction cell systemMassoud, Tarek M. / Horiuchi, Timothy K. et al. | 2009
- 569
-
An adaptive front-end readout system for radiation detectionXiao Yun, / Stanacevic, Milutin et al. | 2009
- 573
-
Equivalent circuits for two-fermion four-state quantum systemsCivalleri, Pier Paolo / Gilli, Marco / Bonnin, Michele et al. | 2009
- 577
-
Impact of random dopant induced statistical variability on inverter switching trajectories and timing variabilityKamsani, Noor Ain / Cheng, Binjie / Roy, Scott / Asenov, Asen et al. | 2009
- 581
-
A process compensated 3-GHz ring oscillatorZhang, Xuan / Apsel, Alyssa B. et al. | 2009
- 585
-
Integrating RTS noise into circuit analysisTang, Tong Boon / Murray, Alan F. et al. | 2009
- 589
-
Monolithic graphene nanoribbon electronics for interconnect performance improvementTanachutiwat, Sansiri / Shuhong Liu, / Geer, Robert / Wei Wang, et al. | 2009
- 593
-
Hardware implementation of a low-complexity detector for large MIMOCerato, B. / Viterbo, E. et al. | 2009
- 597
-
Design of an iterative receiver for linearly precoded MIMO systemsKarakolah, Daoud / Jego, Christophe / Langlais, Charlotte / Jezequel, Michel et al. | 2009
- 601
-
Low-power low-complexity MIMO-OFDM baseband processor for wireless LANsJunha Im, / Misuk Cho, / Jung, Yunho / Kim, Jaeseok et al. | 2009
- 605
-
A semi-blind algorithm for most significant tap detection in channel estimation of OFDM systemsWan, Feng / Zhu, Wei-Ping / Swamy, M.N.S. et al. | 2009
- 609
-
Enhancing compression rate by just-noticeable distortion model for H.264/AVCMak, Chun-Man / Ngan, King Ngi et al. | 2009
- 613
-
Context-based inverse quantization and its application in wavelet image compressionJicheng An, / Zixing Cai, / Quqing Chen, / Zhibo Chen, / Jun Teng, et al. | 2009
- 617
-
Lossless video compression with residual image prediction and coding (RIPC)Zhang, Qi / Dai, Yunyang / Kuo, C.-C. Jay et al. | 2009
- 621
-
Lossless image compression using valley transformMoon, Young-Ho / Park, Sung-Bum / Choi, Jong-Bum / Choi, Dai-Woong / Yoon, Jae-Won / Shim, Woo-Sung / Lee, Kyo-Hyuk et al. | 2009
- 625
-
A new class-based early termination method for fast motion estimation in video codingLin, Weiyao / Panusopone, Krit / Baylon, David / Sun, Ming-Ting et al. | 2009
- 629
-
An efficient coding scheme based on image alignment for H.264/AVCYu, Hongtao / Lin, Zhiping / Teo, Frederick Cheng Tat et al. | 2009
- 633
-
A fuzzy neural network based adaptive predictor with P-controller compensation for lossless compression of imagesLee, Ching-Hung / Kau, Lih-Jen / Lin, Yuan-Pei et al. | 2009
- 637
-
Receiver driven overlap FEC for scalable video coding extension of the H.264/AVCWu, Peng-Jung / Hwang, Jenq-Neng / Lee, Chung-Nan / Teng, Yu-Chih et al. | 2009
- 641
-
A neural implant ASIC for the restoration of balance in individuals with vestibular dysfunctionConstandinou, Timothy G. / Georgiou, Julius / Toumazou, Chris et al. | 2009
- 645
-
A 2-MHz bi-directional wireless transceiver system for implantable glucose sensorsChung, Danny Wen-Yaw / Albason, Alfonso Cesar / Lou, Andrew Shyh-Liang / Hu, Arthur A Sheng et al. | 2009
- 649
-
A 1-V 8-bit 0.95mW successive approximation ADC for biosignal acquisition systemsLee, Shuenn-Yuh / Cheng, Chih-Jen / Wang, Cheng-Pin / Lee, Shyh-Chyang et al. | 2009
- 653
-
A low-noise monolithic CMOS bio-potential detectorFung, Sheung Wai / Liu, Bing / Yuan, Jie / Guo, Qing et al. | 2009
- 657
-
A biphasic integrate-and-fire systemYen, Sheng-Feng / Xu, Jie / Rastogi, Manu / Harris, John G. / Principe, Jose C. / Sanchez, Justin C. et al. | 2009
- 661
-
A neural signal detection amplifier with low-frequency noise suppressionYoshida, Takeshi / Masui, Yoshihiro / Eki, Ryoji / Iwata, Atsushi / Yoshida, Masayuki / Uematsu, Kazumasa et al. | 2009
- 665
-
A 90nm CMOS bio-potential signal readout front-end with improved powerline interference rejectionMa, Chon-Teng / Mak, Pui-In / Vai, Mang-I / Mak, Peng-Un / Pun, Sio-Hang / Feng, Wan / Martins, R. P. et al. | 2009
- 669
-
A low power current reused quadrature VCO for biomedical applicationsKhan, T. / Raahemifar, K. et al. | 2009
- 673
-
A fast and accurate automatic matching network designed for ultra low power medical applicationsChan, Wai Po F. / De Foucauld, E. / Vincent, P. / Hameau, F. / Morche, D. / Delaveaud, C. / Dal Molin, R. / Pons, P / Pierquin, R. / Kerherve, E. et al. | 2009
- 677
-
Towards a bionic chemical synapseThanapitak, Surachoke / Toumazou, Chris et al. | 2009
- 681
-
Reduced complexity MIMO equal gain precodingTsai, Shang-Ho / Ting, Pang-An / Chen, Cheng-Ming / Kao, Chien-Yu et al. | 2009
- 685
-
Low-complexity adaptive channel estimation for OFDM system in fast-fading channelLai, I-Wei / Yu, Tsung-Han / Chiueh, Tzi-Dar et al. | 2009
- 689
-
A scalable MIMO detection architecture with non-sorted multiple-candidate selectionChiu, Po-Lin / Huang, Yuan-Hao et al. | 2009
- 693
-
Robust and low complexity packet detector design for MB-OFDM UWBFan, Wen / Choy, Chiu-Sing / Leung, Ka Nang et al. | 2009
- 697
-
Low-complexity carrier frequency offset estimation using novel range pointer method for OFDM-based WLAN systemsCho, Jongmin / Kim, Jinsang / Cho, Won-Kyung et al. | 2009
- 701
-
An enhanced scheme for second-order-statistics estimation in MIMO-OFDM systemsWan, Feng / Zhu, Wei-Ping / Swamy, M.N.S. et al. | 2009
- 705
-
A low power and variable-length FFT processor design for flexible MIMO OFDM systemsHung, Chun-Lung / Long, Syu-Siang / Shiue, Muh-Tian et al. | 2009
- 709
-
Hardware architecture of an SVD based MIMO OFDM channel estimatorLofgren, Johan / Nilsson, Peter / Edfors, Ove et al. | 2009
- 713
-
Two-stage method for specific audio retrieval based on MP3 compression domainTsai, Tsung-Han / Chang, Wei-Chin et al. | 2009
- 717
-
Real-time 3D pose reconstruction of human body from monocular video sequencesZhu, LiangJia / Hwang, Jenq-Neng / Chen, Chih-Chang / Lin, Ming-Hui / Yen, Chen-Lan et al. | 2009
- 722
-
A local feature-based human motion recognition frameworkLai, Yu-Chun / Liao, Hong-Yuan Mark / Lin, Cheng-Chung / Chen, Jian-Ren / Luo, Y.-F. Peter et al. | 2009
- 726
-
Data-aware platform realization of videotext extraction for content integrationFang, Chih-Lun / Kuo, Ren-Chih / Tsai, Tsung-Han et al. | 2009
- 730
-
Extraction of query term-related visual phrases for news video retrieval using mutual informationYeh, Jun-Bin / Wu, Chung-Hsien et al. | 2009
- 734
-
Image encyption using dynamic shuffling and XORing processesVreugdenhil, John / Iverson, Kane / Katti, Raj S. et al. | 2009
- 738
-
Video knowledge augmentation based on summarized contents and online mediaChen, Bo-Wei / Wang, Jhing-Fa / Wang, Jia-Ching et al. | 2009
- 742
-
Streaming capacity in P2P VoD systemsYifeng He, / Ling Guan, et al. | 2009
- 746
-
Image registration method based on local high order approachYannan Wu, / Au, Oscar C. / Luo, Enming / Yeung, Chi-Ho / Tu, Shing-Fat et al. | 2009
- 750
-
Memory-efficient architecture of 2-D dual-mode discrete wavelet transform using lifting scheme for motion-JPEG2000Li, Wei-Ming / Hsia, Chih-Hsien / Chiang, Jen-Shiun et al. | 2009
- 754
-
The bilateral wavelet pyramid (BWP): A novel image representationChao Wang, / Lifeng Sun, / Zhuoyuan Chen, / Jianwei Zhang, / Shiqiang Yang, et al. | 2009
- 758
-
A motion-compensated spectrum-adaptive deinterlacing algorithmLee, Gwo Giun / Wang, Ming-Jiun / Lin, He-Yuan / Hsiao, Ching-Jui et al. | 2009
- 762
-
A novel fast algorithm based on SMDWT for visual processing applicationsHsia, Chih-Hsien / Guo, Jing-Ming / Chiang, Jen-Shiun / Lin, Chia-Hui et al. | 2009
- 766
-
Bandwidth and local memory reduction of video encoders using Bit Plane Partitioning Memory ManagementLiu, Yi-Nung / Chuang, Meng-Che / Chien, Shao-Yi et al. | 2009
- 770
-
A learning approach for single-frame face super-resolutionHe, Yu / Yap, Kim-Hui / Chau, Lap-Pui et al. | 2009
- 774
-
Live demonstration of an asynchronous integrate-and-fire pixel-event vision sensorOlsson, J.A.M. / Hafliger, P. et al. | 2009
- 775
-
A bio-inspired event-based size and position invariant human posture recognition algorithmShoushun Chen, / Martini, Berin / Culurciello, Eugenio et al. | 2009
- 779
-
Live demonstration: A bio-inspired event-based size and position invariant human posture recognition algorithmShoushun Chen, / Martini, Berin / Culurciello, Eugenio et al. | 2009
- 780
-
A portable electronic nose system that can detect fruity odorsTang, Kea-Tiong / Hsieh, Hung-Yi / Pan, Chih-Heng / Shyu, Jyuo-Min / Lin, Yi-Shan et al. | 2009
- 781
-
A pencil balancing robot using a pair of AER dynamic vision sensorsConradt, J. / Cook, M. / Berner, R. / Lichtsteiner, P. / Douglas, R.J. / Delbruck, T. et al. | 2009
- 785
-
Live demonstration: A pencil balancing robot using a pair of AER dynamic vision sensorsConradt, J. / Cook, M. / Berner, R. / Lichtsteiner, P. / Douglas, R.J. / Delbruck, T. et al. | 2009
- 786
-
A low-power people counting system based on aRizzon, L. / Massari, N. / Gottardi, M. / Gasparini, L. et al. | 2009
- 787
-
POSA: Power-state-aware buffered tree constructionJiang, Iris Hui-Ru / Wu, Ming-Hua et al. | 2009
- 788
-
A reverse-encoding-based on-chip AHB bus tracer supporting both Post-T and Pre-T traceYang, Fu-Ching / Chiang, Cheng-Lung / Huang, Ing-Jer et al. | 2009
- 789
-
Hardware platform and implementation of a real-time multi-user MIMO-OFDM testbedWenk, M. / Luethi, P. / Koch, T. / Maechler, P. / Felber, N. / Fichtner, W. / Lerjen, M. et al. | 2009
- 793
-
Live demonstration: Hardware platform and implementation of a real-time multi-user MIMO-OFDM testbedWenk, M. / Luethi, P. / Koch, T. / Maechler, P. / Felber, N. / Fichtner, W. / Lerjen, M. et al. | 2009
- 794
-
A baseband testbed for uplink mobile MIMO WiMAX communicationsWu, Yu-Jen / Lin, Jung-Mao / Yu, Hsin-Yi / Ma, Hsi-Pin et al. | 2009
- 798
-
Live demonstration: A baseband testbed for uplink mobile MIMO WiMAX communicationsWu, Yu-Jen / Lin, Jung-Mao / Yu, Hsin-Yi / Ma, Hsi-Pin et al. | 2009
- 799
-
An integrated environment for HW/SW co-design based on a CAL specification and HW/SW code generatorsRoquier, Ghislain / Lucarz, Christophe / Mattavelli, Marco / Wipliez, Matthieu / Raulet, Mickael / Janneck, Jorn W. / Miller, Ian D. / Parlour, David B. et al. | 2009
- 800
-
A current-mode active clampling for boostrap circuit applied to DC/DC buck convertersDe Lima, Jader A. / Pimenta, Wallace A. et al. | 2009
- 804
-
A differential-Mode Current Sensor And Its Application To A LDO regulatorDe Lima, Jader A. / Pimenta, Wallace A. et al. | 2009
- 808
-
An improved fast transient response low dropout voltage regulatorAbbasi, Mohammad Usaid / Abbasi, Tanvir Ahmad / Abbasi, Mohammad Suhaib / Hasan, Azeem et al. | 2009
- 812
-
Bandgap reference with curvature corrected compensation using subthreshold MOSFETsAdl, Ahmad-Hossein / El-Sankary, Kamal / El-Masry, Ezz et al. | 2009
- 816
-
A high PSR voltage reference for DC-to-DC converter applicationsZhang, Huiyuan / Chan, P. K. / Tan, M. T. et al. | 2009
- 820
-
Area efficient 2n× switched capacitor charge pumpWong, Oi-Ying / Tam, Wing-Shan / Kok, Chi-Wah / Wong, Hei et al. | 2009
- 824
-
High power-supply rejection low drop-out regulatorDasgupta, Uday / Tanzil, Alexander et al. | 2009
- 828
-
The design of an ultra-low power buck regulator supporting dynamic voltage scaling for wireless sensor networksSchemm, Nathan / Balkir, Sina / Hoffman, Michael W. et al. | 2009
- 832
-
Statistical covariance-matching based blind channel estimation for zero-padding MIMO-OFDM systemsChen, Yi-Sheng / Wu, Jwo-Yuh et al. | 2009
- 836
-
On the three-dimensional orthogonal drawing of outerplanar graphsTayu, Satoshi / Oshima, Takuya / Ueno, Shuichi et al. | 2009
- 840
-
On continuous partial singular value decomposition algorithmsHasan, Mohammed A. et al. | 2009
- 844
-
A MUSIC-like blind co-channel signals separation algorithm and its performance analysisHui Li, / Wenjie Wang, / Qinye Yin, et al. | 2009
- 848
-
Single channel music source separation based on harmonic structure estimationDongmei Wang, / Qinghua Huang, et al. | 2009
- 852
-
Coupling effects in networks of cyclic negative feedback systemsLanza, Valentina / Corinto, Fernando / Gilli, Marco et al. | 2009
- 856
-
An asymptotic estimate of the numbers of rectangular drawings or floorplansFujimaki, Ryo / Inoue, Youhei et al. | 2009
- 860
-
Color correction of multiview video with average color as referenceDoutre, Colin / Nasiopoulos, Panos et al. | 2009
- 864
-
A novel method for global disparity vector estimation in multiview video codingKuo, Tien-Ying / Yeh, Chin-Kun / Tsai, Hung-Yang et al. | 2009
- 868
-
A new one-pass Complexity-Scalable computation-control method for video codingLin, Weiyao / Panusopone, Krit / Baylon, David / Sun, Ming-Ting et al. | 2009
- 872
-
Fast mode decision algorithm for spatial and SNR scalable video codingKim, Seon-Tae / Konda, Krishna Reddy / Cho, Chang-Sik et al. | 2009
- 876
-
Adaptive inter-layer intra prediction in scalable video codingWenhao Zhang, / Aidong Men, / Chen, Pinhua et al. | 2009
- 880
-
An H.264 spatio-temporal hierarchical fast motion estimation algorithm for high-definition videoCheng, Yu-Shin / Chen, Zong-Yi / Chang, Pao-Chi et al. | 2009
- 884
-
On improving the robustness of compressed video by Slepian-Wolf based lossless transcodingXiaopeng Fan, / Au, Oscar C. / Mengyao Ma, / Ling Hou, / Jiantao Zhou, / Cheung, Ngai Man et al. | 2009
- 888
-
Low complexity rate control for VC-1 to H.264 transcodingPantoja, Maria / Ling, Nam et al. | 2009
- 892
-
A shuffled message-passing decoding method for memory-based LDPC decodersUeng, Yeong-Luh / Yang, Chung-Jay / Chen, Chun-Jung et al. | 2009
- 896
-
Node operation reduced decoding for LDPC codesLin, Chia-Yu / Ku, Mong-Kai et al. | 2009
- 900
-
High-throughput GCM VLSI architecture for IEEE 802.1ae applicationsChuan Zhang, / Li Li, / Jun Xu, / Zhongfeng Wang, et al. | 2009
- 904
-
Very low-power flexible GF(p) elliptic-curve crypto-processor for non-time-critical applicationsAhmadi, Hamid Reza / Afzali-Kusha, Ali et al. | 2009
- 908
-
VLSI implementation of a soft bit-flipping decoder for PG-LDPC codesCho, Junho / Jonghong Kim, / Hyunwoo Ji, / Sung, Wonyong et al. | 2009
- 912
-
Implementation of a global clocking scheme for ULP radio networksWang, Xiao Y. / Dokania, Rajeev K. / Apsel, Alyssa B. et al. | 2009
- 916
-
The design of the baseband processor of a non-coherent UWB receiverSchemm, Nathan / Balkir, Sina / Hoffman, Michael W. et al. | 2009
- 920
-
Dual thresholding for digital wideband receivers with variable truncation schemeLee, Yu-Heng George / Chen, Chien-In Henry et al. | 2009
- 924
-
A novel type-based vector modulator self-calibration techniqueHuang, Xinping / Caron, Mario et al. | 2009
- 928
-
Local interpolation filter estimation for fractional-pel displacement vector in video codingLee, Kyo-Hyuk / Moon, Young-Ho et al. | 2009
- 932
-
Robustness of One Bit Per Sample audio watermarkingWei, Foo Say / Dong Qi, et al. | 2009
- 936
-
A hybrid adaptive scheme based on selective Gaussian modeling for real-time object detectionNajjar, Mayssaa Al / Ghosh, Soumik / Bayoumi, Magdy et al. | 2009
- 940
-
Techniques for area-time efficient image rotationSatzoda, R. K. / Suchitra, S. / Srikanthan, T. et al. | 2009
- 944
-
Bit-depth expansion by contour region reconstructionCheng, Cheuk-Hong / Au, Oscar C. / Liu, Chun-Hung / Yip, Ka-Yue et al. | 2009
- 948
-
A new two-stage method for restoration of images corrupted by Gaussian and impulse noises using local polynomial regression and edge preserving regularizationZhang, Z. G. / Chan, S. C. / Zhu, Z. Y. et al. | 2009
- 952
-
2-D digital filter architectures without global broadcast and some symmetry applicationsI-Hung Khoo, / Reddy, Hari C. / Van, Lan-Da / Lin, Chin-Teng et al. | 2009
- 956
-
A 14-b 32MS/s Pipelined ADC with novel fast-convergence comprehensive background calibrationMeruva, A. / Jalali-Farahani, B. et al. | 2009
- 960
-
23-mW 50-MS/s 10-bit pipeline A/D converter with nonlinear LMS foreground calibrationOshima, Takashi / Takahashi, Tomomi / Yamawaki, Taizo et al. | 2009
- 964
-
A non-uniform resolution step GHz 7-bit flash A/D converter for wideband OFDM signal conversionDanesh, Seyed / Holland, Will / Hurwitz, Jed / Findlater, Keith / Henderson, Robert / Renshaw, David et al. | 2009
- 968
-
Prediction of non-uniform sampling distortion due to substrate noise coupling in regenerative comparatorsStefanou, Athanasios / Gielen, Georges et al. | 2009
- 972
-
An energy-efficient dual sampling SAR ADC with reduced capacitive DACBinhee Kim, / Long Yan, / Yoo, Jerald / Namjun Cho, / Yoo, Hoi-Jun et al. | 2009
- 976
-
A 140MS/s 10-bit pipelined ADC with a folded S/H stageLee, Hwei-Yu / Liu, Shen-Iuan et al. | 2009
- 980
-
On time-interleaved analog-to-digital converters for digital transceiversSoudan, Michael / Farrell, Ronan / Barrandon, Ludovic et al. | 2009
- 984
-
A time-interleaved flash-SAR architecture for high speed A/D conversionSung, Ba Ro Saim / Cho, Sang-Hyun / Lee, Chang-Kyo / Kim, Jong-In / Ryu, Seung-Tak et al. | 2009
- 988
-
A charge pump that generates positive and negative high voltages with low power-supply voltage and low power consumption for non-volatile memoriesYamazoe, Takanori / Ishida, Hisanobu / Nihongi, Yasutaka et al. | 2009
- 992
-
A fast-locking and wide-range reversible SAR DLLLei Wang, / Leibo Liu, / Hongyi Chen, et al. | 2009
- 996
-
A low-cost high-speed source-synchronous interconnection technique for GALS chip multiprocessorsTran, Anh T. / Truong, Dean N. / Baas, Bevan M. et al. | 2009
- 1000
-
High-performance asynchronous intra-chip communication link based on a multiple-valued current-mode single-track schemeOhtake, Yo / Onizawa, Naoya / Hanyu, Takahiro et al. | 2009
- 1004
-
Exploring compiler optimizations for enhancing power gatingRoy, Soumyaroop / Ranganathan, Nagarajan / Katkoori, Srinivas et al. | 2009
- 1008
-
A performance comparison on asynchronous matched-delay templatesChang, Kok-Leong / Gwee, Bah-Hwee / Zheng, Yuanjin et al. | 2009
- 1012
-
Noise reduction for low-power broadband filteringLiu, Renfei / Parhi, Keshab K. et al. | 2009
- 1016
-
On the design of digital control for lab-on-chip systemsMohamed, Mostafa M. A. / Youssef, Anas A. / Ghallab, Yehya H. / Badawy, Wael et al. | 2009
- 1020
-
Quantization noise improvement of Time to Digital converter (TDC) for ADPLLTangudu, Jawaharlal / Gunturi, Sarma / Jalan, Saket / Janardhanan, Jayawardan / Ganesan, Raghu / Sahu, Debapriya / Waheed, Khurram / Wallberg, John / Staszewski, Robert Bogdan et al. | 2009
- 1024
-
A 900 MHz to 5.2 GHz dual-loop feedback multi-band LNALin, Jia-Wei / Yen, Da-Tong / Hu, Wei-Yi / Chu Yu, / Yen, Mao-Hsu / Hsiung, Pao-Ann / Chen, Sao-Jie et al. | 2009
- 1028
-
Transmitter architecture for faster-than-Nyquist signaling systemsDasalukunte, Deepak / Rusek, Fredrik / Anderson, John B. / Owall, Viktor et al. | 2009
- 1032
-
All-digital high-dynamic automatic gain controlVucic, Mladen / Butorac, Marko et al. | 2009
- 1036
-
WiMAX/LTE receiver front-end in 90nm CMOSRodriguez, S. / Rusu, A. / Ismail, M. et al. | 2009
- 1040
-
FPGA implementation of high-frequency software radio receiverVucic, Mladen / Molnar, Goran / Butorac, Marko et al. | 2009
- 1044
-
Bondwire-antenna and duplexer-less LNA/PA design for wireless transceiversAhmed, Abdulhakim / Wight, Jim et al. | 2009
- 1048
-
A high throughput CABAC encoder for ultra high resolution videoWu, Li-Cian / Lin, Youn-Long et al. | 2009
- 1052
-
Software and hardware design for coding depth map sequence with texture motion informationChiang, Po-Ting / Chen, Yung-Chang et al. | 2009
- 1056
-
Tracking of multiple objects across multiple cameras with overlapping and non-overlapping viewsLiangJia Zhu, / Hwang, Jenq-Neng / Cheng, Hsu-Yung et al. | 2009
- 1061
-
High efficiency architecture of ESCOT with Word-Level pass concurrent context modeling scheme for SVCChiang, Jen-Shiun / Hwang, Ting-Hao / Lin, Tsung-Ta / Teng, Kuang-Che et al. | 2009
- 1065
-
Parallel HD encoding on CELLXun He, / Xiangzhong Fang, / Ci Wang, / Satoshi Goto, et al. | 2009
- 1069
-
Block-pipelining cache for motion compensation in high definition H.264/AVC video decoderChen, Xianmin / Peilin Liu, / Jiayi Zhu, / Dajiang Zhou, / Goto, Satoshi et al. | 2009
- 1073
-
Intraframe bitrate prediction method for H.264/AVCLiang Dai, / Xiaolin Shen, / Lu Yu, et al. | 2009
- 1077
-
Watermarking in conjugate ordered dither block truncation coding imagesGuo, Jing-Ming / Wu, Min-Feng / Kang, Yong-Chuen et al. | 2009
- 1081
-
Switched-capacitor converter configuration with low EMI emission obtained by interleaving and its large-signal modelingTan, Siew-Chong / Nur, Moshe / Kiratipongvoot, Sitthisak / Bronstein, Svetlana / Lai, Y. M. / Tse, C. K. / Ioinovici, Adrian et al. | 2009
- 1085
-
A rectifier-free piezoelectric energy harvester circuitKwon, Dongwon / Rincon-Mora, Gabriel A. et al. | 2009
- 1089
-
A low noise, high efficiency two stage envelope modulator structure for EDGE polar modulationQin, Jifeng / Guo, Rong / Park, Jinseok / Huang, Alex et al. | 2009
- 1093
-
A drive circuit for piezoelectric devices with low harmonics contentOzeri, Shaul / Shmilovitz, Doron / Wang, Chua-Chin et al. | 2009
- 1097
-
Experimental results on power efficient single-poly floating gate rectifiersPeters, Christian / Handwerker, Jonas / Henrici, Fabian / Ortmanns, Maurits / Manoli, Yiannos et al. | 2009
- 1101
-
Energy budget and high-gain strategies for voltage-constrained electrostatic harvestersTorres, Erick O. / Rincon-Mora, Gabriel A. et al. | 2009
- 1105
-
A highly efficient interleaved DC-DC converter using coupled inductors in gaas technologyPeng, Han / Chow, T. P. / Hella, Mona et al. | 2009
- 1109
-
Signal-dependent variable-resolution quantization for continuous-time digital signal processingKurchuk, Mariya / Tsividis, Yannis et al. | 2009
- 1113
-
Parameter mismatch estimation in a parallel interleaved ADCBalakrishnan, Jaiganesh / Ramakrishnan, Sthanunathan / Gopinathan, Venugopal et al. | 2009
- 1117
-
An ultra-low-power 10-Bit 100-kS/s successive-approximation analog-to-digital converterLotfi, Reza / Majidi, Rabeeh / Maymandi-Nejad, Mohammad / Serdijn, Wouter A. et al. | 2009
- 1121
-
A 1mW 4b 1GS/s delay-line based analog-to-digital converterTousi, Yahya M. / Li, Guansheng / Hassibi, Arjang / Afshari, Ehsan et al. | 2009
- 1125
-
A single-slope 80MS/s ADC using Two-Step Time-to-Digital ConversionPark, Min / Perrott, Michael H. et al. | 2009
- 1129
-
Leakage reduction in FPGA routing multiplexersHasan, Mohd. / Kureshi, A.K. / Arslan, Tughrul et al. | 2009
- 1133
-
The design of a reconfigurable continuous-flow mixed-radix FFT processorJacobson, Anthony T. / Truong, Dean N. / Baas, Bevan M. et al. | 2009
- 1137
-
Low-complexity reconfigurable complex constant multiplication for FFTsQureshi, Fahad / Gustafsson, Oscar et al. | 2009
- 1141
-
A pipelined simulation approach for logic emulation using multi-FPGA platformsBaviskar, Dinesh / Patkar, Sachin et al. | 2009
- 1145
-
Time-multiplexed data flow graph for the design of configurable multiplier blockChen, Jiajia / Chang, Chip-Hong / Jong, Ching-Chuen et al. | 2009
- 1149
-
A 0.9mW microphone uplink system in 65nm CMOSMohieldin, Ahmed N. / Mekky, Rania H. / Emira, Ahmed / Omar, Mohammed / Elwan, Hassan et al. | 2009
- 1153
-
A 95nW ring oscillator-based temperature sensor for RFID tags in 0.13µm CMOSPark, Sunghyun / Min, Changwook / Cho, SeongHwan et al. | 2009
- 1157
-
Integrated CMOS resistance-to-period converter with parasitic capacitance evaluationDi Carlo, C. / De Marcellis, A. / Stornelli, V. / Ferri, G. / Flammini, A. / Depari, A. et al. | 2009
- 1161
-
Smart RFID label for monitoring the preservation conditions of foodCartasegna, D. / Cito, A. / Conso, F. / Donida, A. / Grassi, M. / Malvasi, L. / Rescio, G. / Malcovati, P. et al. | 2009
- 1165
-
An auto-offset-removal circuit for chemical sensing based on the PG-ISFETLiu Yan, / Georgiou, Pantelis / Constandinou, Timothy G. / Garner, David / Toumazou, Chris et al. | 2009
- 1169
-
Class-D audio amplifiers in mobile applicationsBerkhout, Marco et al. | 2009
- 1173
-
A 650mW filterless class-D audio power amplifier for mobile applications in 65-nm technologyPilloud, Bernard / Groeneweg, Willem H. et al. | 2009
- 1177
-
Filterless multi-level delta-sigma class-D amplifier for portable applicationsAtsushi Matamura, / Nishimura, Naoaki / Liu, Bill Yang et al. | 2009
- 1181
-
A 0.01%THD, 70dB PSRR Single Ended Class D using variable hysteresis control for headphone amplifiersPillonnet, G. / Abouchi, N. / Cellier, R. / Nagari, A. et al. | 2009
- 1185
-
NMOS-only Class-D output stages based on charge pump architecturesMaughan, Steven / Henderson, Robert et al. | 2009
- 1189
-
A continuous-time hidden Markov model for mean-variance portfolio optimizationElliott, Robert J. / Siu, Tak Kuen et al. | 2009
- 1193
-
Robust linear estimation using M-estimation and weighted L1 regularization: Model selection and recursive implementationZhang, Z. G. / Chan, S. C. / Zhou, Y. / Hu, Y. et al. | 2009
- 1197
-
An optimizing search based algorithm for FIR filtering with noisy input-output dataZheng, Wei Xing et al. | 2009
- 1201
-
A time-frequency domain formant frequency estimation scheme for noisy speech signalsFattah, S. A. / Zhu, W.-P. / Ahmad, M. O. et al. | 2009
- 1205
-
Bootstrap based adaptation of sample myriad to characteristics of SαS distribution dataLukin, Vladimir / Roenko, Alexey / Abramov, Sergey / Djurovic, Igor / Astola, Jaakko et al. | 2009
- 1209
-
Intelligent camera cloud computingLijing Zhang, / Malki, Suleyman / Spaanenburg, Lambert et al. | 2009
- 1213
-
Networked vehicles for automated fault detectionByttner, S. / Rognvaldsson, T. / Svensson, M. / Bitar, G. / Chominsky, W. et al. | 2009
- 1217
-
Towards a gesture based human-machine interface: Fast 3D tracking of the human fingers on high speed smart camera computersBerci, Norbert / Szolgay, Peter et al. | 2009
- 1221
-
GPU powered artificial immune system for visual applicationsLackner, Kristof / Cserey, Gyorgy et al. | 2009
- 1225
-
Supersonic flow simulation on IBM cell processor based emulated digital Cellular Neural NetworksNagy, Zoltan / Kiss, Andras / Kocsardi, Sandor / Csik, Arpad et al. | 2009
- 1229
-
Low complexity and high throughput VLSI architecture for AVC/H.264 CAVLC decodingLee, Gwo Giun / Lo, Chia-Cheng / Chen, Yuan-Ching / Lei, Sheau-Fang / Lin, He-Yuan / Wang, Ming-Jiun et al. | 2009
- 1233
-
Hardware-assisted syntax decoding model for software AVC/H.264 decodersMing-Ju Wu, / Chen, Yi-Tseng / Tsai, Chun-Jen et al. | 2009
- 1237
-
A novel multiple description video coding based on H.264/AVC video coding standardXing Wen, / Au, Oscar C. / Jiang Xu, / Zhiqin Liang, / Yi Yang, / Weiran Tang, et al. | 2009
- 1241
-
An Opportunistic Multi Rate MAC for reliable H.264/AVC video streaming over Wireless Mesh NetworksOh, Byung Joon / Chen, Chang Wen et al. | 2009
- 1245
-
Transform-domain partial prediction algorithm for intra prediction in H.264/AVCTien, Chia-Wei / Lin, Heng-Yao / Liu, Bin-Da / Yang, Jar-Ferr et al. | 2009
- 1249
-
128-channel fully differential digital neural recording and stimulation interfaceShahrokhi, Farzaneh / Abdelhalim, Karim / Genov, Roman et al. | 2009
- 1253
-
128-channel spike sorting processor with a parallel-folding structure in 90nm processChen, Tung-Chien / Wentai Liu, / Chen, Liang-Gee et al. | 2009
- 1257
-
A 220nW neural amplifier for multi-channel neural recording systemsKim, Jungsuk / Chae, Moo Sung / Liu, Wentai et al. | 2009
- 1261
-
Low-power linear-phase delay filters for neural signal processing: Comparison and synthesisGosselin, Benoit / Zbrzeski, Adeline / Sawan, Mohamad / Kerherve, Eric et al. | 2009
- 1265
-
A high-rate long-range wireless transmission system for multichannel neural recording applicationsMiranda, Henrique / Gilja, Vikash / Chestek, Cindy / Shenoy, Krishna V. / Meng, Teresa H. et al. | 2009
- 1269
-
True correlated double sampling and comparator design for time-based image sensorsMatolin, Daniel / Posch, Christoph / Wohlgenannt, Rainer et al. | 2009
- 1273
-
A high performance linear current mode image sensorHsieh, Chih-Cheng / Chen, Wei-Yu / Wu, Chung-Yu et al. | 2009
- 1277
-
Reconfigurable CMOS image sensor design with built-in correlated double samplingZhang, Yang / Ignjatovic, Zeljko et al. | 2009
- 1281
-
An Active Pixel CMOS separable transform image sensorChi, Yu M. / Abbas, Adeel / Chakrabartty, Shantanu / Cauwenberghs, Gert et al. | 2009
- 1285
-
Optimization of wire grid size for differential routing and impact on the power-delay-area tradeoffAlioto, Massimo / Badel, Stephane / Leblebici, Yusuf et al. | 2009
- 1289
-
Localized statistical 3D thermal analysis considering Electro-Thermal couplingZuying Luo, / Fan, Jeffrey / Tan, Sheldon X.-D. et al. | 2009
- 1293
-
Throughput maximization for wave-pipelined interconnects using cascaded buffers and transistor sizingLi Wang, / Mak, Terrence / Sedcole, Pete / Cheung, Peter Y.K. et al. | 2009
- 1297
-
A dual-band voltage-controlled oscillator for SONET OC-768 applicationWeng, Ro-Min / Hsiao, Ting-Jui / Liu, Chun-Yu et al. | 2009
- 1301
-
A low jitter arbitrary-input pulsewidth control loop with wide duty cycle adjustmentWeng, Ro-Min / Lu, Yun-Chih / Liu, Chun-Yu et al. | 2009
- 1305
-
A CMOS low-noise low-power quadrature LC oscillatorEbrahimi, Emad / Naseh, Sasan et al. | 2009
- 1309
-
Optimal transmitter design for short distance communicationChai, B. / Li, Y. / Zhang, Y.T. et al. | 2009
- 1313
-
CMOS SFFDS PA with coupled transformer for high power RF applicationsLuque, Y. / Kerherve, E. / Deltimple, N. / Belot, D. et al. | 2009
- 1317
-
Design and analysis of a current-reuse transmitter for ultra-low power applicationsLe Zheng, / Hsin-Cheng Yao, / Tzeng, Fred / Heydari, Payam et al. | 2009
- 1321
-
Harmonic control network for 2.6 GHz CMOS class-F power amplifierLiao, Hsien-Yuan / Chen, Jhih-Hong / Chiou, Hwann-Kaeo / Wang, Shih-Ming et al. | 2009
- 1325
-
A fourth-order, audio-bandwidth, 87.5-dB SNDR ΣΔ modulator for MEMS microphonesPicolli, L. / Grassi, M. / Rosson, L. / Fornasari, A. / Malcovati, P. et al. | 2009
- 1329
-
An anti-aliasing multi-rate ΣΔ modulatorChan Carusone, Anthony / Maloberti, Franco et al. | 2009
- 1333
-
An enhanced dual-path ΔΣ analog-to-digital converterYoshio Nishida, / Temes, Gabor C. et al. | 2009
- 1337
-
A digital calibration technique for DAC mismatches in delta-sigma modulatorsWenhuan Yu, / Temes, Gabor C. et al. | 2009
- 1341
-
Improved low-distortion ΔΣ ADC topologyLee, Kyehyung / Temes, Gabor C. et al. | 2009
- 1345
-
HeMPS - a framework for NoC-based MPSoC generationCarara, Everton A. / de Oliveira, Roberto P. / Calazans, Ney L. V. / Moraes, Fernando G. et al. | 2009
- 1349
-
Mesh-star Hybrid NoC architecture with CDMA switchWoojoon Lee, / Sobelman, Gerald E. et al. | 2009
- 1353
-
Distributed flow control and buffer management for Wireless Network-on-ChipWang, Yi / Zhao, Dan et al. | 2009
- 1357
-
Fast Host Service Interface design for embedded Java application processorSu, Kuan-Nian / Tsai, Chun-Jen et al. | 2009
- 1361
-
A case study of design optimization through variable width selectionTongtong Chen, / Xun Liu, et al. | 2009
- 1365
-
Frequency synthesis with arbitrary input clock rate and rational K/L multiplier ratioYao, Chih-Wei / Willson, Alan N. et al. | 2009
- 1369
-
380 MHz low-power sharp-rejection active-RC LPF for IEEE 802.15.4a UWB WPANPhan, Anh Tuan / Farrell, Ronan / Jeongseon Lee, / Lee, Sang-Gug et al. | 2009
- 1373
-
Integrated charge-pump phase-locked loop with SC-loop filter for capacitive microsensor readoutSpeeti, Timo / Aaltonen, Lasse / Halonen, Kari et al. | 2009
- 1377
-
A wide-tuning-range and reduced-fractional-spurs synthesizer combining Σ-Δ fractional-N and integer Flying-Adder techniquesHuang, Chen-Wei / Ping Gui, / Liming Xiu, et al. | 2009
- 1381
-
Realizations of CMOS fully differential current followers/amplifiersAlzaher, Hussain / Tasadduq, Noman et al. | 2009
- 1385
-
Scaling trends of the AES S-box low power consumption in 130 and 65 nm CMOS technology nodesKamel, Dina / Standaert, Francois-Xavier / Flandre, Denis et al. | 2009
- 1389
-
Modular reduction without precomputational phaseKnezevic, Miroslav / Batina, Lejla / Verbauwhede, Ingrid et al. | 2009
- 1393
-
Efficient hardware implementation of a new pseudo-random bit sequence generatorKatti, Raj S. / Srinivasan, Sudarshan K. et al. | 2009
- 1397
-
Low area Elliptic Curve arithmetic unitFournaris, Apostolos P. / Koufopavlou, Odysseas et al. | 2009
- 1401
-
Compact and high-speed hardware architectures for hash function tigerSatoh, Akashi / Sklavos, Nicolas et al. | 2009
- 1405
-
A modified sorted-QR decomposition algorithm for parallel processing in MIMO detectionLai, Ren-Hao / Chen, Cheng-Ming / Ting, Pang-An / Huang, Yuan-Hao et al. | 2009
- 1409
-
Iterative QR decomposition architecture using the modified Gram-Schmidt algorithmLin, Kuang-Hao / Lin, Chih-Hung / Chang, Robert Chen-Hao / Huang, Chien-Lin / Chen, Feng-Chi et al. | 2009
- 1413
-
A spectral matching method for pitch estimation from noise-corrupted speechShahnaz, C. / Zhu, W. -P. / Ahmad, M. O. et al. | 2009
- 1417
-
Parameter optimization in ε-filter for acoustical signal based on correlation coefficientAbe, Tomomi / Matsumoto, Mitsuharu / Hashimoto, Shuji et al. | 2009
- 1421
-
Region-based eigentransformation for face image hallucinationYu Hu, / Tingzhi Shen, / Lam, Kin Man et al. | 2009
- 1425
-
Energy harvesting and limits of low power mixed-signal circuit designAmirtharajah, Rajeevan / Wenck, Justin / Guilar, Nathaniel et al. | 2009
- 1429
-
Design techniques for self-powered microsystemsOtis, B. / Egbert, R. / Haq, S. / Holleman, J. / Hu, J. / Liao, Y. / Pandey, J. / Rai, S. / Zhang, F. et al. | 2009
- 1433
-
Ultra-low power radios for ad-hoc networksApsel, A. / Dokania, R. / Wang, X. et al. | 2009
- 1437
-
Sub-threshold operation and cross-hierarchy design for ultra low power wearable sensorsCalhoun, Benton H. / Bolus, Jonathan / Khanna, Sudhanshu / Jurik, Andrew D. / Weaver, Alfred C. / Blalock, Travis N. et al. | 2009
- 1441
-
A 13.2 mW 1.9 GHz interpolative BAW-based VCO for miniaturized RF frequency synthesisMark, Michael / Rabaey, Jan M. et al. | 2009
- 1445
-
WiiStick: Enhancing motion recognition capability for Wii systemsChiang, Pei-Ying / Kuo, May-chen / Lee, Jessy / Kuo, C.-C. Jay et al. | 2009
- 1449
-
Video-based activity monitoring for indoor environmentsZhongna Zhou, / Xi Chen, / Chung, Yu-Chia / Zhihai He, / Han, Tony X. / Keller, James M. et al. | 2009
- 1453
-
Throughput adaptation for scalable video multicast in wireless networksChuah, Seong-Ping / Zhenzhong Chen, / Tianxiao Ye, / Tan, Yap-Peng / Chua, Hock-Chuan et al. | 2009
- 1457
-
On-line lossless mocap data compressionKuo, May-chen / Chiang, Pei-Ying / Lee, Jessy / Kuo, C.-C. Jay et al. | 2009
- 1461
-
Progressive transmission of motion capture data for scalable virtual character animationChew, Boon-Seng / Chau, Lap-Pui / Yap, Kim-Hui et al. | 2009
- 1465
-
Learning EEG-based spectral-spatial patterns for attention level measurementHamadicharef, Brahim / Zhang, Haihong / Guan, Cuntai / Chuanchu Wang, / Phua, Kok Soon / Tee, Keng Peng / Ang, Kai Keng et al. | 2009
- 1469
-
Discriminative FilterBank selection and EEG information fusion for Brain Computer InterfaceThomas, Kavitha P. / Guan, Cuntai / Tong, Lau Chiew / Vinod, A. P. et al. | 2009
- 1473
-
Frequency-response masking based filter bank for QRS dection in wearable biomedical devicesFei Zhang, / Ying Wei, / Lian, Yong et al. | 2009
- 1477
-
Kernel PCA regression for missing data estimation in DNA microarray analysisYing Shan, / Guang Deng, et al. | 2009
- 1481
-
Resource constrained VLSI architecture for implantable neural data compression systemsKamboh, Awais M. / Oweiss, Karim G. / Mason, Andrew J. et al. | 2009
- 1485
-
Block-matching-based CMOS optical flow sensor using only-nearest-neighbor computationFukuoka, Yudai / Shibata, Tadashi et al. | 2009
- 1489
-
Stereo correspondence based on rotation invariant correlationGao, Zhi-Wei / Lin, Wen-Kuo / Shen, Yu-Shian / Lin, Chia Yen / Chang, Chih-Cheng et al. | 2009
- 1493
-
A mismatch calibrated bipolar spatial contrast AER retina with adjustable contrast thresholdLenero-Bardallo, J. A. / Serrano-Gotarredona, T. / Linares-Barranco, B. et al. | 2009
- 1497
-
CMOS image compression sensor with algorithmically-multiplying ADCsNilchi, Alireza / Aziz, Joseph / Genov, Roman et al. | 2009
- 1501
-
Architecture of a digital pixel sensor array using 1-bit Hilbert predictive codingZhang, Milin / Bermak, Amine et al. | 2009
- 1505
-
An automated algorithm to generate stream programsLei Gao, / Mittal, Gaurav / Zaretsky, David / Schonfeld, Dan / Banerjee, Prith et al. | 2009
- 1509
-
Verification of desynchronized circuitsSrinivasan, Sudarshan K. / Katti, Raj S. et al. | 2009
- 1513
-
Surge current minimization in high-level synthesisYeh, Jheng-Fu / Cheng, Chun-Hua / Huang, Shih-Hsu et al. | 2009
- 1517
-
A fast and flexible accuracy-guaranteed fractional bit-widths optimization approachLinsheng Zhang, / Yan Zhang, / Wenbiao Zhou, et al. | 2009
- 1521
-
Solvability of simultaneous control step and timing skew assignments in high level synthesisObata, Takayuki / Kaneko, Mineo et al. | 2009
- 1525
-
A smart frequency presetting technique for fast lock-in LC-PLL frequency synthesizerXiaozhou Yan, / Kuang, Xiaofei / Wu, Nanjian et al. | 2009
- 1529
-
A low complexity real-valued kernel DHT-based OFDM modulator/demodulator designChen, Pei-Shin / Jao, Chin-Kuo / Shiue, Muh-Tian et al. | 2009
- 1533
-
Efficient synchronizer architecture using common autocorrelator for DVB-S2Jea Hack Lee, / Choi, Jin Kyu / Sunwoo, Myung Hoon / Kim, Pansoo / Chang, Dae-Ig et al. | 2009
- 1537
-
A BPSK superregenerative receiver. Preliminary resultsPala-Schonwalder, Pere / Moncunill-Geniz, F. Xavier / Bonet-Dalmau, Jordi / del-Aguila-Lopez, Francisco / Giralt-Mas, Rosa et al. | 2009
- 1541
-
Low-power sliding correlation CMOS UWB pulsed Radar receiver for motion detectionPhan, Anh Tuan / Farrell, Ronan / Kang, Min-Suk / Han, Seok-Kyun / Lee, Sang-Gug et al. | 2009
- 1545
-
A novel mismatch cancellation and I/Q channel multiplexing scheme for quadrature bandpass ΔΣ modulatorsLi, Bing / Chan, Cheong-Fat / Pun, Kong-Pang / Choy, Chiu-Sing et al. | 2009
- 1549
-
A background DAC error estimation in Sigma-Delta ADCs using a pseudo random noise based correlation techniqueWitte, Pascal / Ortmanns, Maurits et al. | 2009
- 1553
-
On the design of a less jitter sensitive NTF for NRZ multi-bit continuous-time ΔΣ modulatorsShamsi, Hossein / Yavari, Mohammad et al. | 2009
- 1557
-
A 1 V 65 nm CMOS reconfigurable time interleaved high pass ΣΔ ADCJabbour, Chadi / Camarero, David / Nguyen, Van Tam / Loumeau, Patrick et al. | 2009
- 1561
-
A 65 nm CMOS digital processor for multi-mode time interleaved high-pass ΣΔ A/D convertersBeydoun, Ali / Nguyen, Van-Tam / Naviner, Lirida / Loumeau, Patrick et al. | 2009
- 1565
-
On-chip PVT compensation techniques for low-voltage CMOS digital LSIsTsugita, Yusuke / Ueno, Ken / Asai, Tetsuya / Amemiya, Yoshihito / Hirose, Tetsuya et al. | 2009
- 1569
-
A quasi-delay-insensitive dual-rail adder working in subthreshold regionChang, Xiaofei / Lian, Yong et al. | 2009
- 1573
-
Ultra-low power subthreshold flip-flop designFisher, Sagi / Teman, Adam / Vaysman, Dmitry / Gertsman, Alexander / Yadid-Pecht, Orly / Fish, Alexander et al. | 2009
- 1577
-
Ultra low-power FSM for control oriented applicationsPasha, Muhammad Adeel / Derrien, Steven / Sentieys, Olivier et al. | 2009
- 1581
-
A Low-power signal processing front-end and decoder for UHF passive RFID transpondersChan, Chi Fat / Shi, Weiwei / Pun, Kong-Pang / Leung, Lai Kan / Leung, Ka Nang / Choy, Chiu-Sing et al. | 2009
- 1585
-
Measuring and compensating for process mismatch-induced, reference spurs in phase-locked loops using a sub-sampled DSPZhuo, Gao / Kesharwani, Divya / Chiang, Patrick / Weiwu, Hu et al. | 2009
- 1589
-
Temperature compensation in combination selection based mismatch calibrationMarku, Joona / Poikonen, Jonne / Paasio, Ari et al. | 2009
- 1593
-
CMOS body-enhanced cascode current mirrorGianni, C. / Scotti, G. / Trifiletti, A. / Pennisi, S. et al. | 2009
- 1597
-
Area-efficient R-C DACs with low-offset push-pull output buffers for a 10-bit LCD source driverZheng, Hao-Yuan / Wang, Jia-Hui / Tsai, Chien-Hung / Chang, Chin-Tien / Lee, Ching-Chung / Wang, Chen-Yu et al. | 2009
- 1601
-
Analysis, control and applications of complex networks: A brief overviewLu, Jinhu / Chen, Guanrong et al. | 2009
- 1605
-
Modeling telephone call networks with group structure from a complex network perspectiveLau, Francis C. M. / Tam, Wai M. / Tse, Chi K. et al. | 2009
- 1609
-
Robustness of local adaptive synchronization strategies to topological variations and delaysDe Lellis, Pietro / di Bernardo, Mario et al. | 2009
- 1613
-
Performance study of peer-to-peer video streaming on complex networksChism, Lyrial / He, Xiaoqing / Huang, Liquan / Ibrahim, Ashraf / Jones, Christopher / Shu, Yan / Wu, Chai Wah / Fu, Zhenghua et al. | 2009
- 1617
-
Dynamical organization of cooperation on homogeneous networked systemRong, Zhi Hai / Li, Xiang / Wang, Xiao Fan et al. | 2009
- 1621
-
The perceptually transparent coding for imageWei, Zhenyu / Ngan, King N. et al. | 2009
- 1625
-
2D enhanced intra prediction (2DEIP) for high definition image/video codingDai, Yunyang / Zhang, Qi / Kuo, C.-C. Jay et al. | 2009
- 1629
-
Orthogonalized linear discriminant analysis based on modified generalized singular value decompositionWu, Wei / Ahmad, M. Omair et al. | 2009
- 1633
-
Method and architecture design for motion compensated frame interpolation in high-definition video processingLee, Yen-Lin / Nguyen, Truong et al. | 2009
- 1637
-
Frame rate up-conversion with edge-weighted motion estimation and trilateral interpolationZhang, Lei / Wang, Ci / Zhang, Wenjun / Tan, Yap-Peng et al. | 2009
- 1641
-
Decoder-side block motion estimation for H.264 / MPEG-4 AVC based video codingKlomp, Sven / Munderloh, Marco / Vatis, Yuri / Ostermann, Jorn et al. | 2009
- 1645
-
Efficient edge matching using improved hierarchical chamfer matchingZhang, Qiang / Xu, Pengfei / Li, Wen / Wu, Zhongke / Zhou, Mingquan et al. | 2009
- 1649
-
Prioritized reference decision for efficient motion vector codingZhou, Dajiang / Zhou, Jinjia / Goto, Satoshi et al. | 2009
- 1653
-
Fast sub-sampling block matching algorithm employing adaptively assigned sizes and locations of search windowsEnomoto, Tadayoshi / Kobayashi, Nobuaki / Isaka, Shimon et al. | 2009
- 1657
-
Hardware efficient coarse-to-fine fast algorithm for H.264/AVC variable block size motion estimationChen, Lien-Fei / Huang, Shien-Yu / Liao, Chi-Yao / Lai, Yeong-Kang et al. | 2009
- 1661
-
Reversible data hiding using histogram-based difference expansionHuang, Hsiang-Cheh / Fang, Wai-Chi / Tsai, I-Tse et al. | 2009
- 1665
-
A SIFT-based image fingerprinting approach robust to geometric transformationsYu, Xinghua / Huang, Tiejun et al. | 2009
- 1669
-
Adaptive steganography-based optical color image cryptosystemsChuang, Cheng-Hung / Lin, Guo-Shiang et al. | 2009
- 1673
-
Energy efficient content-based image retrieval for mobile systemsHong, Yu-Ju / Kumar, Karthik / Lu, Yung-Hsiang et al. | 2009
- 1677
-
Multimodal structure segmentation and analysis of music using audio and textual informationCheng, Heng-Tze / Yang, Yi-Hsuan / Lin, Yu-Ching / Chen, Homer H. et al. | 2009
- 1681
-
An efficient approach to synchronization of complex networks with different dynamical structuresWu, Xiaoqun / Zheng, Wei Xing et al. | 2009
- 1685
-
Synchronization properties in networks of Hindmarsh-Rose neurons and their PWL approximations with linear symmetric couplingLinaro, Daniele / Righero, Marco / Biey, Mario / Storace, Marco et al. | 2009
- 1689
-
Pinning a complex network through the betweenness centrality strategyRong, Zhi Hai / Li, Xiang / Lu, Wen Lian et al. | 2009
- 1693
-
A novel scale-free network model with accelerating growthLi, Huan / Lu, Jinhu et al. | 2009
- 1697
-
Analysis of Internet topologies: A historical viewNajiminaini, Mohamadreza / Subedi, Laxmi / Trajkovic, Ljiljana et al. | 2009
- 1701
-
An efficient Prony's method for time-varying power system harmonic estimationChen, Cheng-I / Chang, Gary W. et al. | 2009
- 1705
-
A hybrid meta-heuristic method for optimal allocation of UPFCsMori, Hiroyuki / Maeda, Yukihiro et al. | 2009
- 1709
-
Service restoration of power distribution systems incorporating load curtailmentKleinberg, Michael / Miu, Karen / Chiang, Hsiao-Dong et al. | 2009
- 1713
-
Utilization of field programmable analog arrays (FPAA) to emulate power system dynamicsDeese, Anthony / Jimenez, Juan C. / Nwankpa, Chika O. et al. | 2009
- 1717
-
A novel zero dynamics design method and its application to hydraulic turbine governorMei, Shengwei / Zheng, Shaoming / Wang Peng, et al. | 2009
- 1723
-
Placement-aware clustering for integrated clock and power gatingBolzani, Leticia / Calimera, Andrea / Macii, Alberto / Macii, Enrico / Poncino, Massimo et al. | 2009
- 1727
-
Placement-aware 3D floorplanningNain, Rajeev K. / Chrzanowska-Jeske, Malgorzata et al. | 2009
- 1731
-
Representation of 3D-LSI floorplan based on stacked-rectangular-dissectionOhta, Hidenori / Fujiyoshi, Kunihiro et al. | 2009
- 1735
-
Buffer planning for 3D ICsSheqin Dong, / Hongjie Bai, / Xianlong Hong, / Goto, Satoshi et al. | 2009
- 1739
-
Automatic placement of identical unit capacitors to improve capacitance matchingSoares, Carlos F. T. / Petraglia, A. et al. | 2009
- 1743
-
VLSI Implementation of a 4×4 MIMO-OFDM transceiver with an 80-MHz channel bandwidthShingo Yoshizawa, / Yoshikazu Miyanaga, et al. | 2009
- 1747
-
Low complexity hardware interleaver for MIMO-OFDM based wireless LANAsghar, Rizwan / Liu, Dake et al. | 2009
- 1751
-
DDFS with new sinusoid approximation based on harmonics removaliroomi, et al. | 2009
- 1755
-
DSP oriented implementation of a feedforward power amplifier linearizerBurglechner, Sascha / Shahed Hagh Ghadam, Ali / Springer, Andreas / Valkama, Mikko / Hueber, Gernot et al. | 2009
- 1759
-
A low-complexity hardware implementation of discrete-time frequency-selective Rayleigh fading channelsRen, Fei / Zheng, Yahong R. et al. | 2009
- 1763
-
Dickson charge pump circuit design with parasitic resistance in power linesTanzawa, Toru et al. | 2009
- 1767
-
A novel multiple modes PWM controller for LEDsLu, Jiaying / Wu, Xiaobo et al. | 2009
- 1771
-
Spiral inductors with projected floating shields: An alternative method for RF shieldingHaner, Ronald L. / Krishnan, Shoba / Burns, Sean T. et al. | 2009
- 1775
-
A fast-switching current-pulse driver for LED backlightKim, Jang-Su / Lee, Yong-Kyu / Lee, Jee-Sue / Shin, Young-Kyu / Tark, Jung-Hyun / Ryu, Keun-Chul / Yang, Byung-Do et al. | 2009
- 1779
-
The novel SCR-based ESD protection device with high holding voltageWon, Jong-Il / Shin, Samuell / Ha, Ka-San / Kwon, Jong-Ki / Koo, Yong-Seo et al. | 2009
- 1783
-
Reducing indirect programming mismatch due to oxide-traps using dual-channel floating-gate transistorsChenling Huang, / Chakrabartty, Shantanu et al. | 2009
- 1787
-
A single signal controlled dual-band VCO for WiMAX applicationsKuo, Chun-Wei / Lin, Zhi-Ming et al. | 2009
- 1791
-
A cascade SVM approach for head-shoulder detection using histograms of oriented gradientsXifeng Ding, / Hui Xu, / Peng Cui, / Lifeng Sun, / Shiqiang Yang, et al. | 2009
- 1795
-
Delay-guaranteed interactive multiview video streamingZhibo Chen, / Zhang, Meng / Sun, Lifeng / Yang, Shiqiang et al. | 2009
- 1799
-
An efficient emotion detection scheme for popular musicYeh, Chia-Hung / Lin, Hung-Hsuan / Chang, Hsuan-Ting et al. | 2009
- 1803
-
Bank-partition and multi-fetch scheme for floating-point special function units in multi-core systemsKim, Young-Jun / Chung, Kyusik / Kim, Lee-Sup / Park, Seong Mo et al. | 2009
- 1807
-
Design for variability in CMOS logic circuits: Uncommitted motif arrays (UMAs)Caldwell, Sonia H. Paluchowski / Cumming, David R. S. et al. | 2009
- 1811
-
Fast and area efficient multi-input Muller C-element based on MOS-NDRNunez, Juan / Quintana, Jose M. / Avedillo, Maria J. et al. | 2009
- 1815
-
Concurrently testable FPGA design for molecular QCA using conservative reversible logic gateThapliyal, Himanshu / Ranganathan, Nagarajan et al. | 2009
- 1819
-
Design of robust molecular electronic circuitsLei, Ci / Pamunuwa, Dinesh / Bailey, Steven / Lambert, Colin et al. | 2009
- 1823
-
Mismatch compensation of CMOS current mirrors using floating-gate transistorsDatta, Timir / Abshire, Pamela et al. | 2009
- 1827
-
A 10Gb/s wire-line transceiver with half rate period calibration CDRZhuo Gao, / Hang Yu, / Chiang, Patrick / Yi Yang, / Feng Zhang, et al. | 2009
- 1831
-
An Extended Phase Detector 2.56/3.2Gb/s Clock And Data Recovery design with Digitally Assisted Lock DetectorChen, Fan-Ta / Wu, Jen-Ming et al. | 2009
- 1835
-
A wide bandwidth sige broadband amplifier for 100 Gb/s Ethernet applicationsMukherjee, Tonmoy S. / Howard, Duane C. / Cressler, John D. / Kornegay, Kevin T. et al. | 2009
- 1839
-
10Gbps decision feedback equalizer with dynamic lookahead decision loopLin, Yu-Chun / Shiue, Muh-Tian / Jou, Shyh-Jye et al. | 2009
- 1843
-
Comparison of on-die global clock distribution methods for parallel serial linksKangmin Hu, / Tao Jiang, / Chiang, Patrick et al. | 2009
- 1847
-
An ASIC for SMTP Intrusion Prevention SystemChen, Ming-Jen / Chien, Kuan-Ping / Huang, Chia-Ying / Cheng, Bo-Chao / Chu, Yuan-Sun et al. | 2009
- 1851
-
10GBase-LX4 limiting amplifier in 0.18 µm CMOS digital process with tunable shunt-peakingGarcia del Pozo, J.M. / Celma, S. / Otin, A. / Aznar, F. et al. | 2009
- 1855
-
A scalable and programmable sound synthesizerKim, Tae-Hwan / Lee, Young-Joo / Park, In-Cheol et al. | 2009
- 1859
-
Statistics in bilateral domain: Novel statistics of natural imagesChao Wang, / Lifeng Sun, / Zhuoyuan Chen, / Jianwei Zhang, / Shiqiang Yang, et al. | 2009
- 1863
-
Acoustic source localization using LS-SVMs without calibration of microphone arraysHuawei Chen, / Wee Ser, et al. | 2009
- 1867
-
An improved direction-of-arrival estimation via phase information of sparse solutionXiansheng Guo, / Qun Wan, / Chunqi Chang, / Lam, Edmund Y. et al. | 2009
- 1871
-
Synthesis of polynomial-based nonlinear device and harmonic shifting technique for virtual bass systemWee-Tong Lim, / Oo, Nay / Gan, Woon-Seng et al. | 2009
- 1875
-
Relationship between consecutive frames in generalized harmonics analysis for predictive codingNoda, Hisayori / Nishihara, Akinori et al. | 2009
- 1879
-
Statistical image modeling with the magnitude probability density function of complex wavelet coefficientsRakvongthai, Yothin / Oraintara, Soontorn et al. | 2009
- 1883
-
The nonlinear class-E amplifier: A case study of harmonic balance applied to switched circuitsBonet-Dalmau, Jordi / Pala-Schonwalder, Pere / del-Aguila-Lopez, Francisco / Moncunill-Geniz, F. Xavier et al. | 2009
- 1887
-
Noise-induced breakdown of stochastic resonant behavior of van der Pol oscillators coupled by time-varying resistorUwate, Yoko / Nishio, Yoshifumi / Stoop, Ruedi et al. | 2009
- 1891
-
Fast frequency acquisition phase frequency detectors with prediction-based edge blockingPark, Kangwoo / Park, In-Cheol et al. | 2009
- 1895
-
Propagation and reflection of phase differences on a lattice of coupled oscillatorsYamane, Suguru / Yamauchi, Masayuki et al. | 2009
- 1899
-
A 1.08-Gb/s burst-mode clock and data recovery circuit using the jitter reduction techniqueYou, Kae-Dyi / Chiueh, Herming et al. | 2009
- 1903
-
Dynamics of the MEMS pulsed digital oscillator with multiple delays in the feedback loopBlokhina, Elena / Feely, Orla / Dominguez, Manuel et al. | 2009
- 1907
-
Symbolic dynamics based method for rigorous study of the existence of short cycles for chaotic systemsGalias, Zbigniew / Tucker, Warwick et al. | 2009
- 1911
-
A novel hybrid synchronization of two coupled complex networksSun Wen, / Shihua Chen, / Lu, Jinhu et al. | 2009
- 1915
-
A digital driving technique for an 8-bit QVGA AMOLED using delta-sigma modulationJang, Jae Hyuk / Minho Kwon, / Tjandranegara, Edwin / Kywro Lee, / Byunghoo Jung, et al. | 2009