Efficient yield estimation through generalized importance sampling with application to NBL-assisted SRAM bitcells (English)
- New search for: Ciampolini, Lorenzo
- New search for: Lafont, Jean-Christophe
- New search for: Drissi, Faress Tissafi
- New search for: Morin, Jean-Paul
- New search for: Turgis, David
- New search for: Jonsson, Xavier
- New search for: Descleves, Cyril
- New search for: Nguyen, Joseph
- New search for: Ciampolini, Lorenzo
- New search for: Lafont, Jean-Christophe
- New search for: Drissi, Faress Tissafi
- New search for: Morin, Jean-Paul
- New search for: Turgis, David
- New search for: Jonsson, Xavier
- New search for: Descleves, Cyril
- New search for: Nguyen, Joseph
-
ISBN:
-
ISSN:
- Conference paper / Electronic Resource
-
Title:Efficient yield estimation through generalized importance sampling with application to NBL-assisted SRAM bitcells
-
Contributors:Ciampolini, Lorenzo ( author ) / Lafont, Jean-Christophe ( author ) / Drissi, Faress Tissafi ( author ) / Morin, Jean-Paul ( author ) / Turgis, David ( author ) / Jonsson, Xavier ( author ) / Descleves, Cyril ( author ) / Nguyen, Joseph ( author )
-
Published in:
-
Publisher:
- New search for: IEEE
-
Publication date:2016-11-01
-
Size:1142442 byte
-
ISBN:
-
ISSN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
A flash-based digital circuit design flowAbusultan, Monther / Khatri, Sunil P. et al. | 2016
- 1
-
A new tightly-coupled transient electro-thermal simulation method for power electronicsChen, Quan / Schoenmaker, Wim et al. | 2016
- 1
-
A tensor-based volterra series black-box nonlinear system identification and simulation frameworkBatselier, Kim / Chen, Zhongming / Liu, Haotian / Wong, Ngai et al. | 2016
- 1
-
Measuring progress and value of IC implementation technologyKahng, Andrew B. / Lee, Hyein / Li, Jiajia et al. | 2016
- 1
-
Critical path isolation for time-to-failure extension and lower voltage operationMasuda, Yutaka / Hashimoto, Masanori / Onoye, Takao et al. | 2016
- 1
-
Resiliency in dynamically power managed designsLai, Liangzhen / Chandra, Vikas / Aitken, Rob et al. | 2016
- 1
-
Automated error prediction for approximate sequential circuitsKapare, Amrut / Cherupalli, Hari / Sartori, John et al. | 2016
- 1
-
A hardware-based technique for efficient implicit information flow trackingJangseop Shin, / Hongce Zhang, / Jinyong Lee, / Ingoo Heo, / Yu-Yuan Chen, / Ruby Lee, / Yunheung Paek, et al. | 2016
- 1
-
Making neural encoding robust and energy efficient: An advanced analog temporal encoder for brain-inspired computing systemsZhao, Chenyuan / Jialing Li, / Yang Yi, et al. | 2016
- 1
-
QScale: Thermally-efficient QoS management on heterogeneous mobile platformsSahin, Onur / Coskun, Ayse K. et al. | 2016
- 1
-
Analytic approaches to the collapse operation and equivalence verification of threshold logic circuitsLee, Nian-Ze / Kuo, Hao-Yuan / Lai, Yi-Hsiang / Jiang, Jie-Hong R. et al. | 2016
- 1
-
Compact oscillation neuron exploiting metal-insulator-transition for neuromorphic computingChen, Pai-Yu / Jae-sun Seo, / Yu Cao, / Yu, Shimeng et al. | 2016
- 1
-
Efficient statistical analysis for correlated rare failure events via Asymptotic Probability ApproximationHandi Yu, / Jun Tao, / Changhai Liao, / Yangfeng Su, / Dian Zhou, / Xuan Zeng, / Xin Li, et al. | 2016
- 1
-
ICCAD-2016 CAD contest in pattern classification for integrated circuit design space analysis and benchmark suiteTopaloglu, Rasit O. et al. | 2016
- 1
-
Incorporating cut redistribution with mask assignment to enable 1D gridded designJian Kuang, / Young, Evangeline F. Y. / Bei Yu, et al. | 2016
- 1
-
The art of semi-formal bug huntingNalla, Pradeep Kumar / Gajavelly, Raj Kumar / Baumgartner, Jason / Mony, Hari / Kanzelman, Robert / Ivrii, Alexander et al. | 2016
- 1
-
Control synthesis and delay sensor deployment for efficient ASV designsChaofan Li, / Sapatnekar, Sachin S. / Hu, Jiang et al. | 2016
- 1
-
Approximation-aware rewriting of AIGs for error tolerant applicationsChandrasekharan, Arun / Soeken, Mathias / Grosse, Daniel / Drechsler, Rolf et al. | 2016
- 1
-
Properties first? A new design methodology for hardware, and its perspectives in safety analysisUrdahl, Joakim / Udupi, Shrinidhi / Ludwig, Tobias / Stoffel, Dominik / Kunz, Wolfgang et al. | 2016
- 1
-
OpenRAM: An open-source memory compilerGuthaus, Matthew R. / Stine, James E. / Ataei, Samira / Brian Chen, / Bin Wu, / Sarwar, Mehedi et al. | 2016
- 1
-
A fast layer elimination approach for power grid reductionYassine, Abdul-Amir / Najm, Farid N. et al. | 2016
- 1
-
Control-fluidic codesign for paper-based digital microfluidic biochipsWang, Qin / Zeyan Li, / Haena Cheong, / Oh-Sun Kwon, / Hailong Yao, / Tsung-Yi Ho, / Shin, Kwanwoo / Bing Li, / Schlichtmann, Ulf / Yici Cai, et al. | 2016
- 1
-
OWARU: Free space-aware timing-driven incremental placementJinwook Jung, / Nam, Gi-Joon / Reddy, Lakshmi / Jiang, Iris Hui-Ru / Youngsoo Shin, et al. | 2016
- 1
-
RC-aware global routingScheifele, Rudolf et al. | 2016
- 1
-
Arbitrary streaming permutations with minimum memory and latencyKoehn, Thaddeus / Athanas, Peter et al. | 2016
- 1
-
OpenDesign Flow Database: The infrastructure for VLSI design and design automation researchJinwook Jung, / Jiang, Iris Hui-Ru / Nam, Gi-Joon / Kravets, Victor N. / Behjat, Laleh / Li, Yin-Lang et al. | 2016
- 1
-
LRR-DPUF: Learning resilient and reliable digital physical unclonable functionJin Miao, / Meng Li, / Roy, Subhendu / Bei Yu, et al. | 2016
- 1
-
VCR: Simultaneous via-template and cut-template-aware routing for directed self-assembly technologySu, Yu-Hsuan / Chang, Yao-Wen et al. | 2016
- 1
-
Architectural-space exploration of approximate multipliersRehman, Semeen / El-Harouni, Walaa / Shafique, Muhammad / Kumar, Akash / Henkel, Jorg et al. | 2016
- 1
-
Privacy protection via appliance scheduling in smart homesJie Wu, / Jinglan Liu, / Xiaobo Sharon Hu, / Yiyu Shi, et al. | 2016
- 1
-
Awards| 2016
- 1
-
Table of contents| 2016
- 1
-
From biochips to quantum circuits: Computer-aided design for emerging technologiesWille, Robert / Li, Bing / Schlichtmann, Ulf / Drechsler, Rolf et al. | 2016
- 1
-
MrDP: Multiple-row detailed placement of heterogeneous-sized cells for advanced nodesYibo Lin, / Bei Yu, / Xiaoqing Xu, / Jhih-Rong Gao, / Viswanathan, Natarajan / Wen-Hao Liu, / Zhuo Li, / Alpert, Charles J. / Pan, David Z. et al. | 2016
- 1
-
A data locality-aware design framework for reconfigurable sparse matrix-vector multiplication kernelSicheng Li, / Wang, Yandan / Wujie Wen, / Wang, Yu / Yiran Chen, / Hai Li, et al. | 2016
- 1
-
Re-architecting the on-chip memory sub-system of machine-learning accelerator for embedded devicesWang, Ying / Huawei Li, / Xiaowei Li, et al. | 2016
- 1
-
Duplex: Simultaneous parameter-performance exploration for optimizing analog circuitsAhmadyan, Seyed Nematollah / Vasudevan, Shobha et al. | 2016
- 1
-
Provably secure camouflaging strategy for IC protectionMeng Li, / Shamsi, Kaveh / Meade, Travis / Zheng Zhao, / Bei Yu, / Yier Jin, / Pan, David Z. et al. | 2016
- 1
-
Testing automotive embedded systems under X-in-the-loop setupsTibba, Ghizlane / Malz, Christoph / Stoermer, Christoph / Nagarajan, Natarajan / Zhang, Licong / Chakraborty, Samarjit et al. | 2016
- 1
-
On detecting delay anomalies introduced by hardware TrojansIsmari, D. / Plusquellic, J. / Lamech, C. / Bhunia, S. / Saqib, F. et al. | 2016
- 1
-
DSA-compliant routing for two-dimensional patterns using block copolymer lithographySu, Yu-Hsuan / Chang, Yao-Wen et al. | 2016
- 1
-
GPlace: A congestion-aware placement tool for UltraScale FPGAsPattison, Ryan / Abuowaimer, Ziad / Areibi, Shawki / Grewal, Gary / Vannelli, Anthony et al. | 2016
- 1
-
Dynamic reliability management for near-threshold dark silicon processorsTaeyoung Kim, / Zeyu Sun, / Cook, Chase / Gaddipati, Jagadeesh / Hai Wang, / Haibao Chen, / Tan, Sheldon X.-D. et al. | 2016
- 1
-
Parallel code-specific CPU simulation with dynamic phase convergence modeling for HW/SW co-designKemmerer, Warren / Wei Zuo, / Deming Chen, et al. | 2016
- 1
-
How much cost reduction justifies the adoption of monolithic 3D ICs at 7nm node?Bon Woong Ku, / Debacker, Peter / Milojevic, Dragomir / Raghavan, Praveen / Lim, Sung Kyu et al. | 2016
- 1
-
A novel unified dummy fill insertion framework with SQP-based optimization methodYudong Tao, / Changhao Yan, / Yibo Lin, / Sheng-Guo Wang, / Pan, David Z. / Xuan Zeng, et al. | 2016
- 1
-
PieceTimer: A holistic timing analysis framework considering setup/hold time interdependency using a piecewise modelGrace Li Zhang, / Bing Li, / Schlichtmann, Ulf et al. | 2016
- 1
-
Security challenges in smart surveillance systems and the solutions based on emerging nano-devicesChaofei Yang, / Chunpeng Wu, / Hai Li, / Yiran Chen, / Mark Barnell, / Qing Wu, et al. | 2016
- 1
-
Fast physics-based electromigration checking for on-die power gridsChatterjee, Sandeep / Sukharev, Valeriy / Najm, Farid N. et al. | 2016
- 1
-
Exploiting randomness in sketching for efficient hardware implementation of machine learning applicationsYe Wang, / Caramanis, Constantine / Orshansky, Michael et al. | 2016
- 1
-
ForewordLiu, Frank et al. | 2016
- 1
-
Scope - quality retaining display rendering workload scaling based on user-smartphone distanceNixon, Kent W. / Chen, Xiang / Yiran Chen, et al. | 2016
- 1
-
Security and privacy threats to on-chip Non-Volatile Memories and countermeasuresGhosh, Swaroop / Khan, Mohammad Nasim Imtiaz / De, Asmit / Jang, Jae-Won et al. | 2016
- 1
-
Caffeine: Towards uniformed representation and acceleration for deep convolutional neural networksZhang, Chen / Zhenman Fang, / Peipei Zhou, / Peichen Pan, / Jason Cong, et al. | 2016
- 1
-
Interconnect-aware device targeting from PPA perspectiveBadaroglu, Mustafa / Xu, Jeff et al. | 2016
- 1
-
Chip editor: Leveraging circuit edit for logic obfuscation and trusted fabricationShakya, Bicky / Asadizanjani, Navid / Forte, Domenic / Tehranipoor, Mark et al. | 2016
- 1
-
Malicious LUT: A stealthy FPGA Trojan injected and triggered by the design flowKrieg, Christian / Wolf, Clifford / Jantsch, Axel et al. | 2016
- 1
-
Enabling online learning in lithography hotspot detection with information-theoretic feature optimizationZhang, Hang / Bei Yu, / Young, Evangeline F. Y. et al. | 2016
- 1
-
TASA: Toolchain-Agnostic Static Software randomisation for critical real-time systemsKosmidis, Leonidas / Vargas, Roberto / Morales, David / Quinones, Eduardo / Abella, Jaume / Cazorla, Francisco J. et al. | 2016
- 1
-
Efficient memory compression in deep neural networks using coarse-grain sparsification for speech applicationsKadetotad, Deepak / Arunachalam, Sairam / Chakrabarti, Chaitali / Jae-sun Seo, et al. | 2016
- 1
-
Efficient yield estimation through generalized importance sampling with application to NBL-assisted SRAM bitcellsCiampolini, Lorenzo / Lafont, Jean-Christophe / Drissi, Faress Tissafi / Morin, Jean-Paul / Turgis, David / Jonsson, Xavier / Descleves, Cyril / Nguyen, Joseph et al. | 2016
- 1
-
A deterministic approach to stochastic computationJenson, Devon / Riedel, Marc et al. | 2016
- 1
-
Neural networks designing neural networks: Multi-objective hyper-parameter optimizationSmithson, Sean C. / Guang Yang, / Gross, Warren J. / Meyer, Brett H. et al. | 2016
- 1
-
Framework designs to enhance reliable and timely services of disaster management systemsChi-Sheng Shih, / Pi-Cheng Hsiu, / Yuan-Hao Chang, / Tei-Wei Kuo, et al. | 2016
- 1
-
BugMD: Automatic Mismatch Diagnosis for Bug triagingMammo, Biruk / Furia, Milind / Bertacco, Valeria / Mahlke, Scott / Khudia, Daya S et al. | 2016
- 1
-
Copyright page| 2016
- 1
-
Keynote addresses| 2016
- 1
-
Synthesis of statically analyzable accelerator networks from sequential programsShaoyi Cheng, / Wawrzynek, John et al. | 2016
- 1
-
Tier partitioning strategy to mitigate BEOL degradation and cost issues in monolithic 3D ICsSamal, Sandeep Kumar / Nayak, Deepak / Ichihashi, Motoi / Banna, Srinivasa / Lim, Sung Kyu et al. | 2016
- 1
-
CONVINCE: A cross-layer modeling, exploration and validation framework for next-generation connected vehiclesZheng, Bowen / Lin, Chung-Wei / Yu, Huafeng / Liang, Hengyi / Zhu, Qi et al. | 2016
- 1
-
RippleFPGA: A routability-driven placement for large-scale heterogeneous FPGAsChak-Wa Pui, / Gengjie Chen, / Wing-Kai Chow, / Ka-Chun Lam, / Jian Kuang, / Peishan Tu, / Hang Zhang, / Young, Evangeline F. Y. / Bei Yu, et al. | 2016
- 1
-
Design space exploration of drone infrastructure for large-scale delivery servicesSangyoung Park, / Licong Zhang, / Chakraborty, Samarjit et al. | 2016
- 1
-
Making split-fabrication more secureYang, Ping-Lin / Marek-Sadowska, Malgorzata et al. | 2016
- 1
-
Delay-optimal technology mapping for In-Memory Computing using ReRAM devicesBhattacharjee, Debjyoti / Chattopadhyay, Anupam et al. | 2016
- 1
-
ODESY: A novel 3T-3MTJ cell design with optimized area density, scalability and latencyLinuo Xue, / Yuanqing Cheng, / Jianlei Yang, / Peiyuan Wang, / Yuan Xie, et al. | 2016
- 1
-
IC thermal analyzer for versatile 3-D structures using multigrid preconditioned Krylov methodsLadenheim, Scott / Chen, Yi-Chung / Mihajlovic, Milan / Pavlidis, Vasilis et al. | 2016
- 1
-
BoostNoC: Power efficient network-on-chip architecture for near threshold computingRajamanikkam, Chidhambaranathan / Rajesh, JS / Chakraborty, Koushik / Roy, Sanghamitra et al. | 2016
- 1
-
Committee| 2016
- 1
-
Cascade2D: A design-aware partitioning approach to monolithic 3D IC with 2D commercial toolsChang, Kyungwook / Sinha, Saurabh / Cline, Brian / Southerland, Raney / Doherty, Michael / Yeric, Greg / Lim, Sung Kyu et al. | 2016
- 1
-
Circuit valorization in the IC design ecosystemde Gyvez, Jose Pineda / Fatemi, Hamed / Vertregt, Maarten et al. | 2016
- 1
-
Allocation of multi-bit flip-flops in logic synthesis for power optimizationDongyoun Yi, / Kim, Taewhan et al. | 2016
- 1
-
Multibank memory optimization for parallel data access in multiple data arraysShouyi Yin, / Zhicong Xie, / Chenyue Meng, / Leibo Liu, / Shaojun Wei, et al. | 2016
- 1
-
Efficient and accurate analysis of Single Event Transients propagation using SMT-based techniquesHamad, Ghaith Bany / Kazma, Ghaith / Mohamed, Otmane Ait / Savaria, Yvon et al. | 2016
- 1
-
Splitting functions in code management on scratchpad memoriesKim, Youngbin / Cai, Jian / Kim, Yooseong / Kyoungwoo Lee, / Shrivastava, Aviral et al. | 2016
- 1
-
Energy-efficient fault tolerance approach for Internet of Things applicationsTeng Xu, / Potkonjak, Miodrag et al. | 2016
- 1
-
Performance driven routing for modern FPGAs invited paperKannan, Parivallal / Sivaswamy, Satish et al. | 2016
- 1
-
Formulating customized specifications for resource allocation problem of distributed embedded systemsZhang, Xinhai / Feng, Lei / Torngren, Martin / Chen, De-Jiu et al. | 2016
- 1
-
Design of power-efficient approximate multipliers for approximate artificial neural networksMrazek, Vojtech / Sarwar, Syed Shakib / Sekanina, Lukas / Vasicek, Zdenek / Roy, Kaushik et al. | 2016
- 1
-
Where formal verification can help in functional safety analysisBernardini, Alessandro / Ecker, Wolfgang / Schlichtmann, Ulf et al. | 2016
- 1
-
Error recovery in a micro-electrode-dot-array digital microfluidic biochipZipeng Li, / Kelvin Yi-Tse Lai, / Po-Hsien Yu, / Krishnendu Chakrabarty, / Miroslav Pajic, / Tsung-Yi Ho, / Chen-Yi Lee, et al. | 2016
- 1
-
Statistical methodology to identify optimal placement of on-chip process monitors for predicting fmaxSzu-Pang Mu, / Wen-Hsiang Chang, / Chao, Mango C.-T. / Yi-Ming Wang, / Ming-Tung Chang, / Min-Hsiu Tsai, et al. | 2016
- 1
-
Efficient synthesis of graph methods: A dynamically scheduled architectureMinutoli, Marco / Castellana, Vito Giovanni / Tumeo, Antonino / Lattuada, Marco / Ferrandi, Fabrizio et al. | 2016
- 1
-
Joint loop mapping and data placement for coarse-grained reconfigurable architecture with multi-bank memoryYin, Shouyi / Xianqing Yao, / Tianyi Lu, / Liu, Leibo / Wei, Shaojun et al. | 2016
- 1
-
Detailed placement for modern FPGAs using 2D dynamic programmingDhar, Shounak / Adya, Saurabh / Singhal, Love / Iyer, Mahesh A. / Pan, David Z. et al. | 2016
- 1
-
Power delivery in 3D packages: Current crowding effects, dynamic IR drop and compensation network using sensorsKannan, Sukeshwar / Sadi, Mehdi / England, Luke et al. | 2016
- 1
-
The hype, myths, and realities of testing 3D integrated circuitsWang, Ran / Deutsch, Sergej / Agrawal, Mukesh / Chakrabarty, Krishnendu et al. | 2016
- 1
-
Cost analysis and cost-driven IP reuse methodology for SoC design based on 2.5D/3D integrationStow, Dylan / Akgun, Itir / Barnes, Russell / Peng Gu, / Xie, Yuan et al. | 2016
- 1
-
Energy-efficient and reliable 3D Network-on-Chip (NoC): Architectures and optimization algorithmsDas, Sourav / Doppa, Janardhan Rao / Pande, Partha Pratim / Chakrabarty, Krishnendu et al. | 2016
- 1
-
TinySPICE Plus: Scaling up statistical SPICE simulations on GPU leveraging shared-memory based sparse matrix solution techniquesHan, Lengfei / Feng, Zhuo et al. | 2016
- 1
-
Analysis of production data manipulation attacks in Petroleum Cyber-Physical SystemsXiaodao Chen, / Zhou, Yuchen / Hong Zhou, / Chaowei Wan, / Qi Zhu, / Wenchao Li, / Shiyan Hu, et al. | 2016
- 1
-
Voltage-based electromigration immortality check for general multi-branch interconnectsZeyu Sun, / Demircan, Ertugrul / Shroff, Mehul D. / Kim, Taeyoung / Huang, Xin / Tan, Sheldon X.-D. et al. | 2016
- 1
-
SAINT: Handling module folding and alignment in fixed-outline floorplans for 3D ICsLin, Jai-Ming / Chiu, Po-Yang / Chang, Yen-Fu et al. | 2016
- 1
-
NVSim-CAM: A circuit-level simulator for emerging nonvolatile memory based Content-Addressable MemoryLi, Shuangchen / Liu, Liu / Peng Gu, / Xu, Cong / Yuan Xie, et al. | 2016
- 1
-
Model-based design of resource-efficient automotive control softwareChang, Wanli / Roy, Debayan / Zhang, Licong / Chakraborty, Samarjit et al. | 2016
- 1
-
Overview of the 2016 CAD contest at ICCADHuang, Shih-Hsu / Lin, Rung-Bin / Kim, Myung-Chul / Nakatake, Shigetoshi et al. | 2016
- 1
-
Adaptive performance prediction for integrated GPUsGupta, Ujjwal / Campbell, Joseph / Ogras, Umit Y. / Ayoub, Raid / Kishinevsky, Michael / Paterna, Francesco / Gumussoy, Suat et al. | 2016
- 1
-
Multi-objective design optimization for flexible hybrid electronicsBhat, Ganapati / Gupta, Ujjwal / Tran, Nicholas / Park, Jaehyun / Ozev, Sule / Ogras, Umit Y. et al. | 2016
- 1
-
A machine learning approach to fab-of-origin attestationAhmadi, Ali / Bidmeshki, Mohammad-Mahdi / Nahar, Amit / Orr, Bob / Pas, Michael / Makris, Yiorgos et al. | 2016
- 1
-
Security of neuromorphic computing: Thwarting learning attacks using memristor's obsolescence effectChaofei Yang, / Beiye Liu, / Hai Li, / Yiran Chen, / Barnell, Mark / Qing Wu, / Wujie Wen, / Rajendran, Jeyavijayan et al. | 2016
- 1
-
Reconfigurable in-memory computing with resistive memory crossbarYue Zha, / Jing Li, et al. | 2016
- 1
-
Multilevel Design Understanding: From specification to logicRay, Sandip / Harris, Ian G. / Fey, Goerschwin / Soeken, Mathias et al. | 2016
- 1
-
Fast generation of lexicographic satisfiable assignments: Enabling canonicity in SAT-based applicationsPetkovska, Ana / Mishchenko, Alan / Soeken, Mathias / De Micheli, Giovanni / Brayton, Robert / Ienne, Paolo et al. | 2016
- 1
-
Improved flop tray-based design implementation for power reductionKahng, Andrew B. / Jiajia Li, / Lutong Wang, et al. | 2016
- 1
-
Redistribution layer routing for integrated fan-out wafer-level chip-scale packagesLin, Bo-Qiao / Ting-Chou Lin, / Chang, Yao-Wen et al. | 2016
- 1
-
An optimization-theoretic approach for attacking physical unclonable functionsYuntao Liu, / Yang Xie, / Chongxi Bao, / Srivastava, Ankur et al. | 2016
- 1
-
Autonomous sensor-context learning in dynamic human-centered Internet-of-Things environmentsRokni, Seyed Ali / Ghasemzadeh, Hassan et al. | 2016
- 1
-
KCAD: Kinetic Cyber-attack detection method for Cyber-physical additive manufacturing systemsChhetri, Sujit Rokka / Canedo, Arquimedes / Al Faruque, Mohammad Abdullah et al. | 2016
- 1
-
Are proximity attacks a threat to the security of split manufacturing of integrated circuits?Magana, Jonathon / Daohang Shi, / Davoodi, Azadeh et al. | 2016
- 1
-
Generation and use of statistical timing macro-models considering slew and load variabilitySinha, Debjit / Zolotov, Vladimir / Jin Hu, / Raghunathan, Sheshashayee K. / Bhanji, Adil / Casey, Christine M. et al. | 2016
- 1
-
An efficient and accurate algorithm for computing RC current response with applications to EM reliability evaluationGuan, Zhong / Marek-Sadowska, Malgorzata et al. | 2016
- 1
-
Exploiting ferroelectric FETs for low-power non-volatile logic-in-memory circuitsXunzhao Yin, / Aziz, Ahmedullah / Nahas, Joseph / Datta, Suman / Gupta, Sumeet / Niemier, Michael / Xiaobo Sharon Hu, et al. | 2016
- 1
-
Sponsors| 2016
- 1
-
Design technology for fault-free and maximally-parallel wavelength-routed optical networks-on-chipPeano, Andrea / Ramini, Luca / Gavanelli, Marco / Nonato, Maddalena / Bertozzi, Davide et al. | 2016
- 1
-
The Architecture value engine: Measuring and delivering sustainable SoC improvementCarballo, Juan-Antonio / Bangqi Xu, et al. | 2016
- 1
-
ICCAD-2016 CAD contest in large-scale identical fault searchWei, Tangent / Lin, Luke et al. | 2016
- 1
-
Exact diagnosis using Boolean SatisfiabilityRiener, Heinz / Fey, Goerschwin et al. | 2016
- 1
-
Compiled Symbolic Simulation for SystemCHerdt, Vladimir / Le, Hoang M. / Grosse, Daniel / Drechsler, Rolf et al. | 2016
- 1
-
UTPlaceF: A routability-driven FPGA placer with physical and congestion aware packingLi, Wuxi / Dhar, Shounak / Pan, David Z. et al. | 2016
- 1
-
Formal approaches to design of active cell balancing architectures in Battery Management SystemsSteinhorst, Sebastian / Lukasiewycz, Martin et al. | 2016
- 1
-
Encasing block ciphers to foil key recovery attempts via side channelAgosta, Giovanni / Barenghi, Alessandro / Pelosi, Gerardo / Scandale, Michele et al. | 2016
- 1
-
Security engineering of nanostructures and nanomaterialsShahrjerdi, D. / Nasri, B. / Armstrong, D. / Alharbi, A. / Karri, R. et al. | 2016
- 1
-
Scalable, high-quality, SAT-based multi-layer escape routingBayless, Sam / Hoos, Holger H. / Hu, Alan J. et al. | 2016
- 1
-
CamoPerturb: Secure IC camouflaging for minterm protectionYasin, Muhammad / Mazumdar, Bodhisatwa / Sinanoglu, Ozgur / Rajendran, Jeyavijayan et al. | 2016
- 1
-
Efficient statistical validation of machine learning systems for autonomous drivingWeijing Shi, / Alawieh, Mohamed Baker / Li, Xin / Huafeng Yu, / Arechiga, Nikos / Tomatsu, Nobuyuki et al. | 2016
- 1
-
ICCAD-2016 CAD contest in Non-exact Projective NPNP Boolean Matching and benchmark suiteWu, Chi-An / Hsu, Chih-Jen / Khoo, Kei-Yong et al. | 2016
- 1
-
A cross-layer approach for resiliency and energy efficiency in Near Threshold ComputingGolanbari, M. S. / Gebregiorgis, A. / Oboril, F. / Kiamehr, S. / Tahoori, M. B. et al. | 2016
- 1
-
A polyhedral model-based framework for dataflow implementation on FPGA devices of Iterative Stencil LoopsNatale, Giuseppe / Stramondo, Giulio / Bressana, Pietro / Cattaneo, Riccardo / Sciuto, Donatella / Santambrogio, Marco D. et al. | 2016
- 1
-
Imprecise security: Quality and complexity tradeoffs for hardware information flow trackingWei Hu, / Becker, Andrew / Ardeshiricham, Armita / Yu Tai, / Ienne, Paolo / Mu, Dejun / Kastner, Ryan et al. | 2016
- 1
-
Exploring aging deceleration in FinFET-based multi-core systemsCai, Ermao / Stamoulis, Dimitrios / Marculescu, Diana et al. | 2016
- 1
-
Approximation knob: Power Capping meets energy efficiencyKanduri, Anil / Haghbayan, Mohammad-Hashem / Rahmani, Amir M. / Liljeberg, Pasi / Jantsch, Axel / Dutt, Nikil / Tenhunen, Hannu et al. | 2016
- c1
-
Cover page| 2016