Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Maskless Lithography - Comparison of tilting and piston mirror elements for 65 nm node spatial light modulator optical maskless lithography (English)
- New search for: Watson, G.P.
- New search for: Watson, G.P.
- New search for: Aksyuk, V.
- New search for: Tennant, D.M.
- New search for: Cirelli, R.A.
In:
Journal of vacuum science and technology / B
;
22
, 6
; 3038-3042
;
2004
-
ISSN:
- Article (Journal) / Print
-
Title:Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Maskless Lithography - Comparison of tilting and piston mirror elements for 65 nm node spatial light modulator optical maskless lithography
-
Contributors:
-
Published in:Journal of vacuum science and technology / B ; 22, 6 ; 3038-3042
-
Publisher:
- New search for: Inst.
-
Place of publication:New York, NY
-
Publication date:2004
-
ISSN:
-
ZDBID:
-
Type of media:Article (Journal)
-
Type of material:Print
-
Language:English
- New search for: 51.30 / 50.94 / 53.55 / 53.56
- Further information on Basic classification
-
Keywords:
-
Classification:
-
Source:
Table of contents – Volume 22, Issue 6
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 2563
-
Thermally actuated probe array for parallel dip-pen nanolithographyWang, Xuefeng et al. | 2004
- 2568
-
Effect of spontaneous and piezoelectric polarization on intersubband transition in AlxGa1-xN-GaN quantum wellLi, J.M. et al. | 2004
- 2574
-
Modeling of electronic transport in GaN n-i-p junctionsMayer, A. et al. | 2004
- 2580
-
Redeposition of etch products on sidewalls during SiO2 etching in a fluorocarbon plasma. V. Effects of C-F ratio in plasma gasesMin, Jae-Ho et al. | 2004
- 2589
-
Fabrication of ordered array of tungsten nanoperticles on anodic porous alumina by electron-beam-induced selective depositionXie, Guoqiang et al. | 2004
- 2594
-
Investigation of surface modifications of 193 and 248 nm photoresist materials during low-pressure plasma etchingLing, L. et al. | 2004
- 2604
-
Influence of molecular weight of resist polymers on surface roughness and line-edge roughnessYamaguchi, T. et al. | 2004
- 2611
-
Mechanism of Cu oxidation in ashing processKojima, Akihiro et al. | 2004
- 2615
-
Dielectric properties of highly (100) oriented (Pb0.5,Sr0.5)TiO3 thin films grown on Si with MgO buffer layerKim, Kyoung-Tae et al. | 2004
- 2620
-
Mechanisms of nano-hole drilling due to nano-probe intense electron beam irradiation on a stainless steelBysakh, S. et al. | 2004
- 2628
-
Pt nanostructured electrode encapsulated by a tantalum oxide for thin-film fuel cellPark, Kyung-Won et al. | 2004
- 2632
-
Surface flatness of polycrystalline copper after argon ion etching followed by annealingHino, T. et al. | 2004
- 2635
-
Annealing temperature stability of Ir and Ni-based Ohmic contacts on AlGaN-GaN high electron mobility transistorsKang, B.S. et al. | 2004
- 2640
-
High resolution and aspect ratio two-dimensional photonic band-gap crystalTeo, Selin H.G. et al. | 2004
- 2649
-
Damascene Cu electrodeposition on metal organic chemical vapor deposition-grown Ru thin film barrierCho, Sung Ki et al. | 2004
- 2654
-
Low temperature growth of amorphous Si nanoparticles in oxide matrix for efficient visible photoluminescenceMa, L.B. et al. | 2004
- 2658
-
Dielectric function of thin-film titanium oxide with a granular nanostructureSorbello, R.S. et al. | 2004
- 2663
-
Molecular-beam-epitaxy growth of high-quality InGaAsN-GaAs quantum well lasers emitting at 1.3 mmWang, J.S. et al. | 2004
- 2668
-
Optical study of spin injection dynamics in InGaN-GaN quantum wells with GaMnN injection layersBuyanova, I.A. et al. | 2004
- 2673
-
Evaluation of atomic exchange in GaAs-GaP interfaces by tetragonal distortion measurementsFerrer, J.C. et al. | 2004
- 2680
-
Fabrication of diamond-like amorphous carbon cantilever resonatorsChua, Daniel H.C. et al. | 2004
- 2685
-
Fabrication of masters for nanoimprint, step and flash, and soft lithography using hydrogen slisesquioxans and x-ray lithographyJunarsa, Ivan et al. | 2004
- 2691
-
Strain and electrical characterization of metal-oxide-semiconductor field-effect transistor fabricated on mechanically and thermally transferred silicon on insulator filmsLu, F. et al. | 2004
- 2698
-
Effect of the deposition temperature on temperature coefficient of resistance in CuNi thin film resistorsHur, Sung-Gi et al. | 2004
- 2702
-
Effects of postannealing on the bulk and interfacial characteristics of ZrO2 gate dielectrics prepared on Si by metalorganic chemical vapor depositionHuang, Shih-Sian et al. | 2004
- 2709
-
Synthesis of tin-incorporated nanocomposite diamond like carbon films by plasma enhanced chemical vapor deposition and their characterizationKundoo, S. et al. | 2004
- 2715
-
Microstructure and resistivity characterization of CuAu I superlattice formed in Cu-Au thin filmsZhang, W. et al. | 2004
- 2719
-
Hydrogen in Si-Si bond center and platelet-like defect configurations in amorphous hydrogenated siliconAgarwal, Sumit et al. | 2004
- 2727
-
Temperature-dependent dc characteristics of an InGaAs-InGaAsP heterojunction bipolar transistor with an InGaAsP spacer and a composite-collector structureChen, Jing-Yuh et al. | 2004
- 2734
-
Chemically enhanced physical vapor deposition of tantalum nitride-based films for ultra-large-scale integrated devicesLi, Ning et al. | 2004
- 2743
-
Experimental relationship between work function and dipole moment on ErO-W(100) and LuO-W(100) emitter surfacesSaito, Y. et al. | 2004
- 2748
-
Formation of silicon on plasma synthesized aluminum nitride structure by ion cuttingZhu, Ming et al. | 2004
- 2754
-
Effects of applied voltages on planarization efficiency of Cu electropolishingChang, Shih-Chieh et al. | 2004
- 2758
-
Optical and electrical properties of AlCrN films grown by molecular beam epitaxyPolyakov, A.Y. et al. | 2004
- 2764
-
High aspect ratio pattern transfer in imprint lithography using a hybrid moldLiao, Wen-Chang et al. | 2004
- 2768
-
Fabrication of metallic nanostructures by atomic force microscopy nanomachining and lift-off processHsu, Ju-Hung et al. | 2004
- 2772
-
Etching of porous SiOCH materials in fluorocarbon-based plasmasPosseme, N. et al. | 2004
- 2785
-
Detection of bacterial cells and antibodies using surface micromachined thin silicon cantilever resonatorsGupta, Amit et al. | 2004
- 2792
-
Formation of density controlled Cr-filled carbon nanotubes on Au-Cr solid solutionKamide, K. et al. | 2004
- 2799
-
Nanocomposite low-k SiCOH films by plasma-enhanced chemical vapor deposition using vinyltrimethylsilane and CO2Jeong, Ki-Hun et al. | 2004
- 2804
-
Ag metallization on silicides with nitride barriersMitan, M.M. et al. | 2004
- 2811
-
Fabrication and properties of ultranano, nano, and microcrystalline diamond membranes and sheetsReinhard, D.K. et al. | 2004
- 2818
-
Ultraviolet-induced damage in fluorocarbon plasma and its reduction by pulse-time-modulated plasma in charge coupled device image sensor wafer processesOkigawa, Mitsuru et al. | 2004
- 2823
-
Nanostructures produced by ultraviolet laser irradiation of silicon. I. Rippled structuresPedraza, A.J. et al. | 2004
- 2836
-
Nanostructures produced by ultraviolet laser irradiation of silicon. II. Nanoprotrusions and nanoparticlesGuan, Y.F. et al. | 2004
- 2844
-
Particle adhesion and removal mechanisms during brush scrubber cleaningXu, K. et al. | 2004
- 2853
-
Application of ion beam etching technique to the direct fabrication of silicon microtip arraysZhang, Xinyu et al. | 2004
- 2860
-
Brief Reports and Comments - Cold-field-emission tips aging study using surface potential measurementsDongmo, H. et al. | 2004
- 2863
-
Errata - Erratum: Nanofabrication using structure controlled hydrogenated Si clusters deposited on Si surfaces (J. Vac. Sci. Technol. B 18, 3497 (2000))Kanayama, Toshihiko et al. | 2004
- 2876
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Preface| 2004
- 2877
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Plenary - Liquid immersion lithography: Why, how, and when?Rothschild, M. et al. | 2004
- 2882
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Plenary - Reaching for the bottom: The evolution of EIPBNSmith, Henry I. et al. | 2004
- 2885
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography - Full-field exposure performance of electron projection lithography toolSuzuki, Kazuaki et al. | 2004
- 2891
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography - Influence of Coulomb effects on electron projection lithography processYamamoto, Jiro et al. | 2004
- 2897
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography - Probe shape measurement in an electron beam lithography systemLiddle, J.Alexander et al. | 2004
- 2902
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography - Electron-beam-based photomask repairEdinger, Klaus et al. | 2004
- 2907
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography - Electron beam induced conductivity in polymethyl methacrylate, polyimide, and SiO2 thin filmsBai, Min et al. | 2004
- 2912
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography - Full MEMS monolithic microcolumn for wafer-level arrayalKim, Hak et al. | 2004
- 2917
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography - Variable cell projection as an advance in electron-beam cell projection systemYamada, Akio et al. | 2004
- 2923
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography - 3D proximity effect correction based on the simplified electron energy flux model in electron-beam lithographyOsawa, Morimi et al. | 2004
- 2929
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography - Representation of nonrectangular features for exposure estimation and proximity effect correction in electron-beam lithographyLee, S.-Y. et al. | 2004
- 2936
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography - Electron beam lithography for data storage: Quantifying the proximity effect as a function of CAD design and thin metal layersEckert, Andrew et al. | 2004
- 2943
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography - Experimental and simulation comparison of electron-beam proximity correctionLeunissen, L.H.A. et al. | 2004
- 2948
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography - Optimum dose for shot noise limited CD uniformity in electron-beam lithographyKruit, P. et al. | 2004
- 2956
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - At-wavelength alignment and testing of the 0.3 NA MET opticGoldberg, Kenneth A. et al. | 2004
- 2962
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Extreme ultraviolet microexposures at the Advanced Light Source using the 0.3 numerical aperture micro-exposure tool opticNaulleau, Patrick P. et al. | 2004
- 2966
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Effects of flare in extreme ultraviolet lithography: Learning from the engineering test standChandhok, Manish et al. | 2004
- 2970
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Phase measurement of reflection of EUV multilayer mirror using EUV standing wavesMiyake, Akira et al. | 2004
- 2975
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Development of projection optics set-3 for high-numerical-aperture extreme ultraviolet exposure tool (HiNA)Oshino, Tetsuya et al. | 2004
- 2980
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Astigmatism measurement by lateral shearing interferometerLiu, Zhiqiang et al. | 2004
- 2984
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Angular dependency of off-axis illumination on 100-nm-width pattern printability for extreme ultraviolet lithography: Ru-Mo-Si reflector systemKang, In-Yong et al. | 2004
- 2987
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Ion Beam Lithography - Conductive nanostructure fabrication by focused ion beam direct-writing of silver nanoparticlesKong, David S. et al. | 2004
- 2992
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Ion Beam Lithography - Single ion implantation with scanning probe alignmentPersaud, A. et al. | 2004
- 2995
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Ion Beam Lithography - Advanced nanoscale material processing with focused ion beamsLugstein, A. et al. | 2004
- 3000
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Ion Beam Lithography - Focused ion beam induced deposition of low-resistivity copper materialGannon, Thomas J. et al. | 2004
- 3004
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Ion Beam Lithography - Optimum mode of operation for a low energy focused Ion beam systemRauscher, Michael et al. | 2004
- 3008
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Ion Beam Lithography - Gas delivery and virtual process chamber concept for gas-assisted material processing in a focused ion beam systemRay, Valery et al. | 2004
- 3012
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Ion Beam Lithography - Formation of GaN films by Ga ion direct deposition under nitrogen radical atmosphereToda, Masaya et al. | 2004
- 3016
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Ion Beam Lithography - Investigations of the Ga+ focused-ion-beam implantation in resist films for nanometer lithography applicationsArshak, Khalil et al. | 2004
- 3021
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Maskless Lithography - Initial lithography results from the digital electrostatic e-beam array lithography conceptBaylor, L.R. et al. | 2004
- 3025
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Maskless Lithography - Cs halide photocathode for multi-electron-beam pattern generatorMaldonado, Juan R. et al. | 2004
- 3032
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Maskless Lithography - Alpha-prototype system for zone-plate-array lithographyMenon, Rajesh et al. | 2004
- 3038
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Maskless Lithography - Comparison of tilting and piston mirror elements for 65 nm node spatial light modulator optical maskless lithographyWatson, G.P. et al. | 2004
- 3043
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Masks - Effect of electrostatic chucking and substrate thickness uniformity on extreme ultraviolet lithography mask flatnessMikkelson, A. et al. | 2004
- 3049
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Masks - High reflectance of reflective-type attenuated-phase-shifting masks for extreme ultraviolet lithography with high inspection contrast in deep ultraviolet regimesChen, H.L. et al. | 2004
- 3053
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Masks - Effect of mask pattern correction for off-axis incident light in extreme ultraviolet lithographySugawara, Minoru et al. | 2004
- 3059
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Masks - Characterization of extreme ultraviolet masks by extreme ultraviolet scatterometryPerlich, J. et al. | 2004
- 3063
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Masks - Influence of glass substrate surface roughness on extreme ultraviolet reflectivity of Mo-Si multilayerMiyagaki, Shinji et al. | 2004
- 3067
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Masks - Lithographic performance of diamond-like carbon membrane mask in electron projection lithographyYamashita, Hiroshi et al. | 2004
- 3072
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Masks - Ultrathin membrane masks for electron projection lithographyWood II, O.R. et al. | 2004
- 3077
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Masks - Assessment of image placement errors induced in electron projection lithography masks by chuckingChang, J. et al. | 2004
- 3082
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Masks - Thermal analysis of diamondlike carbon membrane masks in projection electron-beam lithographyBabin, S. et al. | 2004
- 3087
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Masks - Stress and image-placement distortions of 200 mm low-energy electron projection lithography masksEguchi, H. et al. | 2004
- 3092
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Masks - Approach to full-chip simulation and correction of stencil mask distortion for proximity electron lithographySawamura, J. et al. | 2004
- 3097
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Masks - Optimized HT-AttPSM blanks using Al2O3-TiO2 multilayer films for the 65 nm technology nodeLai, Fu-Der et al. | 2004
- 3102
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Masks - Pattern transferring technique using reversal mask processKato, Hirokazu et al. | 2004
- 3107
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanodevices - Assembly and electrical characterization of DNA-wrapped carbon nanotube devicesTalin, A.A. et al. | 2004
- 3112
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanodevices - Silicon nitride gate dielectric for top-gated carbon nanotube field effect transistorsLi, Shengdong et al. | 2004
- 3115
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanodevices - Formation of 15 nm scale Coulomb blockade structures in silicon by electron beam lithography with a bilayer resist processPark, S.-J. et al. | 2004
- 3119
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanodevices - Single electron memory devices utilizing Al2O3 tunnel oxide barriersYadavalli, Kameshwar K. et al. | 2004
- 3124
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanodevices - Electron beam stimulated field-emission from single-walled carbon nanotubesNojeh, Alireza et al. | 2004
- 3128
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanodevices - Fabrication and characterization of Au island single-electron transistors with CrOx step edge junctionsLuo, Xiangning et al. | 2004
- 3133
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanodevices - Wavelength tuning of an antenna-coupled infrared microbolometerGritz, Michael A. et al. | 2004
- 3137
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanodevices - Nanomechanical switch fabrication by focused-Ion-beam chemical vapor depositionMorita, Takahiko et al. | 2004
- 3143
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanodevices - Fatigue life of a microcantilever beam in bendingHocheng, H. et al. | 2004
- 3147
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanodevices - Compliant pin chuck for minimizing the effect of backside particles on wafer planarityNimmakayala, Pawan Kumar et al. | 2004
- 3151
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanodevices - Piezoelectric projective displaysPark, Bong Mo et al. | 2004
- 3154
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanodevices - Nanoflash device with self-aligned double floating gates using scanning probe lithography and tetramethylammonium hydroxide wet etchingSheu, J.T. et al. | 2004
- 3158
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - Three-dimensional and multimaterial microfabrication using focused-ion-beam chemical-vapor deposition and its application to processing nerve electrodesHoshino, T. et al. | 2004
- 3163
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - Biological lithography: Improvements in DNA synthesis methodsKim, C. et al. | 2004
- 3168
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - Assembled micro-electromechanical-systems microcolumn from a single layer silicon processSaini, R. et al. | 2004
- 3174
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - Deep-ultraviolet-microelectromechanical systems stencils for high-throughput resistless patterning of mesoscopic structuresBoogaart, M.A.F.van den et al. | 2004
- 3178
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - Fabrication of sub-5 nm gaps between metallic electrodes using conventional lithographic techniquesSteinmann, Philipp et al. | 2004
- 3182
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - Nanoscale electronics based on two-dimensional dopant patterns in siliconShen, T.-C. et al. | 2004
- 3186
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - 25 nm mechanically buttressed high aspect ratio zone plates: Fabrication and performanceOlynick, Deirdre L. et al. | 2004
- 3191
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - Patterned grafting of polymer brushes onto flexible polymer substratesPadeste, Celestino et al. | 2004
- 3196
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - Structure quality of high aspect ratio sub-micron polymer structures patterned at the electron storage ring ANKAAchenbach, S. et al. | 2004
- 3202
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - Direct metal pattern writing by VUV photodissociationYan, Jianxun et al. | 2004
- 3206
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - Assessment of lithographic process variation effects in InGaAsP annular Bragg resonator lasersGreen, William M.J. et al. | 2004
- 3210
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - Plasma doping technology for fabrication of nanoscale metal-oxide-semiconductor devicesCho, Won-ju et al. | 2004
- 3214
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - Towards intersubband quantum box lasers: Electron-beam lithography updateTsvid, G. et al. | 2004
- 3217
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - Scanning thermal lithography: Maskiess, submicron thermochemical patterning of photoresist by ultracompliant probesBasu, Amar S. et al. | 2004
- 3221
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - In situ observation of carbon-nanopillar tubulization processIchihashi, Toshinari et al. | 2004
- 3224
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Components and Processes - Impact of residual layer uniformity on UV stabilization after embossingWissen, M. et al. | 2004
- 3229
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Components and Processes - High resolution lithography with PDMS moldsBender, M. et al. | 2004
- 3233
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Components and Processes - Effect of fluoroalkyl substituents on the reactions of alkylchlorosilanes with mold surfaces for nanoimprint lithographyChen, Jem-Kun et al. | 2004
- 3242
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Components and Processes - Interferometric in situ alignment for UV-based nanoimprintFuchs, A. et al. | 2004
- 3246
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Components and Processes - Stamps for nanoimprint lithography by extreme ultraviolet interference lithographyPark, Sunggook et al. | 2004
- 3251
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Fabrication - Duo-mold imprinting of three-dimensional polymeric structuresKong, Y.P. et al. | 2004
- 3257
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Fabrication - Direct imprint of sub-10 nm features into metal using diamond and SIC stampsLister, K.A. et al. | 2004
- 3260
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Fabrication - High fidelity blazed grating replication using nanoimprint lithographyChang, Chih-Hao et al. | 2004
- 3265
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Fabrication - Fabrication of a surface acoustic wave-based correlator using step-and-flash imprint lithographyCardinale, G.F. et al. | 2004
- 3271
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Fabrication - Imprint lithography issues in the fabrication of high electron mobility transistorsThoms, S. et al. | 2004
- 3275
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Fabrication - DNA nanopatterning with self-organization by using nanoimprintOhtake, Toshihito et al. | 2004
- 3279
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Materials - Predicting the fluid behavior during the dispensing process for step-and-flash imprint lithographyAbdo, A. et al. | 2004
- 3283
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Materials - Process development and characterization of antisticking layers on nickel-based stamps designed for nanoimprint lithographyKeil, M. et al. | 2004
- 3288
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Materials - Simulation and experimental study of polymer deformation in nanoimprint lithographyHirai, Yoshihiko et al. | 2004
- 3294
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Materials - Stability of functional polymers after plasticizer-assisted imprint lithographyReano, R.M. et al. | 2004
- 3300
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Templates - Inspection of templates for imprint lithographyHess, Harald F. et al. | 2004
- 3306
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Templates - Repair of step and flash imprint lithography templatesDauksher, W.J. et al. | 2004
- 3312
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Templates - Controlling imprint distortions in step-and-flash imprint lithographySchuetter, S.D. et al. | 2004
- 3318
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Templates - Mold deformation in nanoimprint lithographyLazzarino, F. et al. | 2004
- 3323
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Templates - Polyvinyl alcohol templates for low cost, high resolution, complex printingSchaper, Charles D. et al. | 2004
- 3327
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanomagnetics and Nanophotonics - Fabrication of 1D and 2D vertical nanomagnetic resonatorsZhang, Shuang et al. | 2004
- 3331
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanomagnetics and Nanophotonics - Nanoscopic templates using self-assembled cylindrical diblock copolymers for patterned mediaYang, XiaoMin et al. | 2004
- 3335
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanomagnetics and Nanophotonics - Elliptical-ring magnetic arrays fabricated using zone-plate-array lithographyJung, Wonjoon et al. | 2004
- 3339
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanomagnetics and Nanophotonics - Electron-beam SAFIERTM process and its application for magnetic thin-film headsYang, XiaoMin et al. | 2004
- 3344
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanomagnetics and Nanophotonics - GaAs-based 1.3 mm microlasers with photonic crystal mirrorsScherer, H. et al. | 2004
- 3348
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanomagnetics and Nanophotonics - Photonic crystals in polymers by direct electron-beam lithography presenting a photonic band gapPanepucci, Roberto R. et al. | 2004
- 3352
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanomagnetics and Nanophotonics - Large-area patterning for photonic crystals via coherent diffraction lithographyZanke, Christel et al. | 2004
- 3356
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanomagnetics and Nanophotonics - Photonic crystal waveguides with propagation losses in the 1 dB-mm rangeZimmermann, J. et al. | 2004
- 3359
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanomagnetics and Nanophotonics - Fabrication of autocloned photonic crystals by using high-density-plasma chemical vapor depositionChen, H.L. et al. | 2004
- 3363
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanomagnetics and Nanophotonics - Fabrication of photonic crystal waveguides composed of a square lattice of dielectric rodsAssefa, Solomon et al. | 2004
- 3366
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanometrology, Inspection and Alignment - Optical inspection of next generation lithography masksPettibone, Don et al. | 2004
- 3373
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanometrology, Inspection and Alignment - Dynamic self-inspection of integrated circuit pattern defectsFeng, Hanying et al. | 2004
- 3378
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanometrology, Inspection and Alignment - Nanometer gap measurement and verification via the chirped-Taibot effectMoon, Euclid E. et al. | 2004
- 3382
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanometrology, Inspection and Alignment - Scanning-spatial-phase alignment for zone-plate-array lithographyMenon, Rajesh et al. | 2004
- 3386
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanometrology, Inspection and Alignment - Self-inspection of IC pattern defectsFeng, Hanying et al. | 2004
- 3390
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanometrology, Inspection and Alignment - Stress estimation of patterned films using a high-energy electron beamMizuno, Fumio et al. | 2004
- 3394
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanometrology, Inspection and Alignment - Impacts of probe-tip tilt on scanning probe microscopyMizuno, Fumio et al. | 2004
- 3399
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanometrology, Inspection and Alignment - Three-dimensional simulation of top down scanning electron microscopy imagesGrella, Luca et al. | 2004
- 3405
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanometrology, Inspection and Alignment - Technique for separately viewing multiple levelsJiang, L. et al. | 2004
- 3409
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanotechnology-Directed Assembly - Lithographically directed self-assembly of nanostructuresLiddle, J.Alexander et al. | 2004
- 3415
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanotechnology-Directed Assembly - Lithographically directed deposition of silica nanoparticles using spin coatingXia, Deying et al. | 2004
- 3421
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanotechnology-Directed Assembly - Electric-field-directed growth of carbon nanotubes in two dimensionsNojeh, Alireza et al. | 2004
- 3426
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanotechnology-Directed Assembly - Selective growth of sculptured nanowires on microlithographic latticesHorn, Mark W. et al. | 2004
- 3431
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Immersion lithography: New opportunities for semiconductor manufacturingGil, Darío et al. | 2004
- 3439
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Hyper NA water immersion lithography at 193 nm and 248 nmSmith, Bruce W. et al. | 2004
- 3444
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Predicting air entrainment due to topography during the filling and scanning process for immersion lithographyWei, A. et al. | 2004
- 3450
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Fluid refractive Index measurements using rough surface and prism minimum deviation techniquesSynowicki, R.A. et al. | 2004
- 3454
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Optimizing the fluid dispensing process for immersion lithographyAbdo, A. et al. | 2004
- 3459
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Imaging capabilities of resist in deep ultraviolet liquid immersion interferometric lithographyRaub, Alex K. et al. | 2004
- 3465
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - 244-nm imaging interferometric lithographyFrauenglass, A. et al. | 2004
- 3470
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Near-field optical lithography using a planar silver lensMelville, David O.S. et al. | 2004
- 3475
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Sub-100, nm lithography using ultrashort wavelength of surface plasmonsSrituravanich, W. et al. | 2004
- 3479
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resists - Sub-50 nm half-pitch imaging with a low activation energy chemically amplified photoresistWallraff, G.M. et al. | 2004
- 3485
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resists - High resolution electron beam lithography using a chemically amplified calix(4)arene based resistSailer, H. et al. | 2004
- 3489
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resists - Modeling and simulation of chemically amplified electron beam, x-ray, and EUV resist processesKozawa, Takahiro et al. | 2004
- 3493
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resists - Lithographic patterning of a highly metallized polymer resist system and pyrolytic or plasma treatment to afford ferromagnetic ceramicsClendenning, Scott B. et al. | 2004
- 3497
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resists - Nonaqueous development of slisesquioxane electron beam resistSchmid, Gerard M. et al. | 2004
- 3503
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resists - Patterning via surface monolayer initiated polymerization: A study of surface initiator photoreaction kineticsMcCoy, Kendra et al. | 2004
- 3509
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resists - Dissolution behavior of main-chain-fluorinated polymers for 157 nm lithographyKodani, T. et al. | 2004
- 3513
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resists - Evaluation of outgassing from a fluorinated resist for 157 nm lithographyIrie, Shigeo et al. | 2004
- 3518
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resists - Impacts of 30-nm-thick resist on improving resolution performance of low-energy electron beam lithographyYoshizawa, Masaki et al. | 2004
- 3522
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resists - Dependence of acid generation efficiency on the protection ratio of hydroxyl groups in chemically amplified electron beam, x-ray and EUV resistsYamamoto, Hiroki et al. | 2004
- 3525
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resists - Pinning effect of microliquid drop on geometrical complex substrates composed with different surface energy materialsYamanaka, Masaki et al. | 2004
- 3528
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Sources and Optics - Miniaturized finger-size electron-beam column with ceramic-type lenses for scanning electron microscopyMiyoshi, M. et al. | 2004
- 3534
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Sources and Optics - Low energy large scan field electron beam column for wafer inspectionLiu, X. et al. | 2004
- 3539
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Sources and Optics - Addressable field emitter array: A tool for designing field emitters and a multibeam electron sourceBauerdick, S. et al. | 2004
- 3543
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Sources and Optics - Writing strategy and electron-beam system with an arbitrarily shaped beamBabin, Sergey et al. | 2004
- 3547
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Sources and Optics - Gated photocathode arrays as sources for multibeam electron nanolithographyMcCarthy, Jack et al. | 2004
- 3552
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Sources and Optics - Preliminary evaluation of surface plasmon enhanced light transmission with a scanning 257 nm ultraviolet microscopeMaldonado, Juan R. et al. | 2004
- 3557
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Sources and Optics - High-speed and high-precision deflectors applied in electron beam lithography system based on scanning electron microscopyLiu, Zhuming et al. | 2004
- 3560
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Sources and Optics - Direct spherical and chromatic aberration correction for charged particle optical systemsTurnbull, William et al. | 2004
- 3565
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Sources and Optics - Negative electron affinity group III-nitride photocathode demonstrated as a high performance electron sourceMachuca, Francisco et al. | 2004
- 3570
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - X-Ray Lithography - Minimal zone plates for x-ray lithographyAmy, D. et al. | 2004
- 3575
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - X-Ray Lithography - Modeling, fabrication, and experimental application of clear x-ray phase masksMalueg, Daniel H. et al. | 2004
- 3581
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - X-Ray Lithography - Temperature distributions to correct distortions in membrane masksJiang, L. et al. | 2004
- 3585
-
Papers from the 48th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - AUTHOR INDEX| 2004
- 3588
-
INDEX - Summary of the Physics and Astronomy Classification Scheme -- 2003| 2004
- 3589
-
INDEX - PACS Headings Used in the Present Index| 2004
- 3594
-
INDEX - Subject Index to Volume 22| 2004
- 3656
-
INDEX - Author Index to Volume 22| 2004
- 3693
-
INDEX - Materials Index to Volume 22| 2004
-
Letters - Analytical study on small contact hole process for sub-65 nm node generationKim, Hyun-Woo et al. | 2004
-
Letters - Reversible nanochemical conversionSugimura, Hiroyuki et al. | 2004
-
Letters - Fabrication and evaluation of highly manufacturable nanoscale flow-through parallel electrode structuresNam, Wook Jun et al. | 2004