The impact of etch-stop layer for borderless contacts on deep submicron CMOS device performance-a comparative study (English)
- New search for: Liao, H.
- New search for: Liao, H.
- New search for: Lee, P.S.
- New search for: Goh, L.N.L.
- New search for: Liu, H.
- New search for: Sudijono, J.L.
- New search for: Elgin, Q.
- New search for: Sanford, C.
In:
Thin solid films
;
462
; 29-33
;
2004
-
ISSN:
- Article (Journal) / Print
-
Title:The impact of etch-stop layer for borderless contacts on deep submicron CMOS device performance-a comparative study
-
Contributors:
-
Published in:Thin solid films ; 462 ; 29-33
-
Place of publication:Amsterdam [u.a.] Elsevier
-
Publication date:2004
-
ISSN:
-
ZDBID:
-
Type of media:Article (Journal)
-
Type of material:Print
-
Language:English
- New search for: 33.68
- Further information on Basic classification
- New search for: 535/3485
-
Keywords:
-
Classification:
-
Source:
Table of contents – Volume 462
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
A FinFET and Tri-gate MOSFET's channel structure patterning and its influence on the device performanceJagar, S. / Singh, Navab / Mehta, Sohan S. / Agrawal, Naveen / Samudra, G. / Balasubramanian, N. et al. | 2004
- 6
-
A new measurement technique for the characterization of carrier lifetime in thin SOI MOSFETsNakajima, Yoshikata / Tomita, Hideki / Aoto, Kenichi / Sasaki, Kenji / Hanajiri, Tatsuro / Toyabe, Toru / Morikawa, Takitaro / Sugano, Takuo et al. | 2004
- 11
-
Channel mobility degradation and charge trapping in high-k/metal gate NMOSFETsMathew, Shajan / Bera, L.K. / Balasubramanian, N. / Joo, M.S. / Cho, B.J. et al. | 2004
- 15
-
Feasibility study of using thin aluminum nitride film as a buffer layer for dual metal gate processPark, Chang Seo / Cho, Byung Jin / Balasubramanian, N. / Kwong, Dim-Lee et al. | 2004
- 19
-
Selective growth of carbon nanotubes and their application to triode-type field emitter arraysUh, Hyung Soo / Lee, Soo Myun / Jeon, Pil Goo / Kwak, Byung Hwak / Park, Sang Sik / Kwon, Sang Jik / Cho, Euo Sik / Ko, Sung Woo / Lee, Jong Duk / Lee, Chun Gyoo et al. | 2004
- 24
-
Effects of phosphorus implantation and subsequent growth on diamondCho, Euo Sik / Lee, Cheon An / Baek, Gwanghyeon / Uh, Hyung Soo / Kwon, Sang Jik / Shin, Hyungcheol / Park, Byung-Gook / Lee, Jong Duk et al. | 2004
- 29
-
The impact of etch-stop layer for borderless contacts on deep submicron CMOS device performance—a comparative studyLiao, H. / Lee, P.S. / Goh, L.N.L. / Liu, H. / Sudijono, J.L. / Elgin, Q. / Sanford, C. et al. | 2004
- 34
-
Metal gate technology for nanoscale transistors—material selection and process integration issuesYeo, Yee-Chia et al. | 2004
- 42
-
Bonding structures of silicon oxynitride prepared by oxidation of Si-rich silicon nitridePoon, M.C. / Kok, C.W. / Wong, H. / Chan, P.J. et al. | 2004
- 46
-
Fabrication and characterization of a trilayer germanium nanocrystal memory device with hafnium dioxide as the tunnel dielectricNg, T.H. / Ho, V. / Teo, L.W. / Tay, M.S. / Koh, B.H. / Chim, W.K. / Choi, W.K. / Du, A.Y. / Tung, C.H. et al. | 2004
- 51
-
Advances in heteroepitaxy of oxides on siliconYu, Z. / Liang, Y. / Overgaard, C. / Hu, X. / Curless, J. / Li, H. / Wei, Y. / Craigo, B. / Jordan, D. / Droopad, R. et al. | 2004
- 57
-
Effect of oxygen pressure and laser fluence during pulsed laser deposition of TiO2 on MTOS (Metal–TiO2–SiO2–Si) capacitor characteristicsPaily, Roy / DasGupta, Amitava / DasGupta, Nandita / Ganguli, Tapas / Kukreja, Lalit M. et al. | 2004
- 63
-
Sub-100 nm MOSFET fabrication with low temperature resist trimming processMathew, Shajan / Nagarajan, Ranganathan / Bera, L.K. / Hua, Feng Han / Yan, Du An / Balasubramanian, N. et al. | 2004
- 67
-
Effects of preannealing on the diffusion barrier properties for ultrathin W–Si–N thin filmQu, Xin-Ping / Lu, Hua / Peng, Tao / Ru, Guo-Ping / Li, Bing-Zong et al. | 2004
- 72
-
Electrical evaluation of laser annealed junctions by Hall measurementsPoon, Chyiu Hyia / Tan, Leng Seow / Cho, Byung Jin / Ng, Keh Ting / Bhat, Mousumi / Chan, Lap et al. | 2004
- 76
-
Thermal stability of strained Si/Si1−xGex heterostructures for advanced microelectronics devicesWong, L.H. / Wong, C.C. / Ong, K.K. / Liu, J.P. / Chan, L. / Rao, R. / Pey, K.L. / Liu, L. / Shen, Z.X. et al. | 2004
- 80
-
Determination of band offsets in strained-Si heterolayersMaiti, C.K. / Samanta, S.K. / Chatterjee, S. / Dalapati, G.K. / Bhattacharya, S. / Armstrong, B.M. / Gamble, H.S. / McCarthy, J. / Perova, T.S. / Moore, R.A. et al. | 2004
- 85
-
Investigation of electrical properties of furnace grown gate oxide on strained-SiBera, L.K. / Mathew, Shajan / Balasubramanian, N. / Leitz, C. / Braithwaite, G. / Singaporewala, F. / Yap, J. / Carlin, J. / Langdo, T. / Lochtefeld, T. et al. | 2004
- 90
-
Effect of annealing on the composition and structure of HfO2 and nitrogen-incorporated HfO2Yeo, Chia Ching / Joo, Moon Sig / Cho, Byung Jin / Whang, Sung Jin et al. | 2004
- 96
-
Interface and oxide traps in high-κ hafnium oxide filmsWong, H. / Zhan, N. / Ng, K.L. / Poon, M.C. / Kok, C.W. et al. | 2004
- 96
-
Interface and oxide traps in high-k hafnium oxide filmsWong, H. et al. | 2004
- 101
-
Wet etching characteristics and surface morphology evaluation of MOCVD grown HfO2 filmBalasubramanian, M. / Bera, L.K. / Mathew, Shajan / Balasubramanian, N. / Lim, Vanissa / Joo, M.S. / Cho, B.J. et al. | 2004
- 106
-
The decomposition mechanism of SiO2 with the deposition of oxygen-deficient M(Hf or Zr)Ox filmsLi, Q. / Wang, S.J. / Lim, P.C. / Chai, J.W. / Huan, A.C.H. / Ong, C.K. et al. | 2004
- 110
-
ALD (HfO2)x(Al2O3)1−x high-k gate dielectrics for advanced MOS devices applicationYu, H.Y. / Li, M.F. / Kwong, D.L. et al. | 2004
- 114
-
STEM study of interfacial reaction at HfxAl1−xOy/Si interfacesDai, J.Y. / Li, K. / Lee, P.F. / Zhao, X. / Redkar, S. et al. | 2004
- 114
-
STEM study of interfacial reaction at HfxAl1#x0221;xOy-Si interfaces| 2004
- 118
-
Photoemission study of high-k praseodymium silicates formed by annealing of ultrathin Pr2O3 on SiO2/SiWang, Z.M. / Wu, J.X. / Fang, Q. / Zhang, J.-Y. et al. | 2004
- 123
-
Preparation of LaNiO3 thin films by mist plasma evaporationHuang, Hui / Yao, Xi et al. | 2004
- 127
-
Effect of substrate on phase transformation kinetics of WSix filmsBharat, S. / Sahoo, P.K. / Katiyar, M. et al. | 2004
- 132
-
Interfacial reactions and mechanism of C54 TiSi2 phase formation enhanced by multi-thermal-shock methodLi, S. / Park, H.S. / Sun, C.Q. / Widjaja, S. / Liao, K. et al. | 2004
- 137
-
Ni(Pt) alloy silicidation on (100) Si and poly-silicon linesPey, K.L. / Lee, P.S. / Mangelinck, D. et al. | 2004
- 146
-
Thermal stability, phase and interface uniformity of Ni-silicide formed by Ni–Si solid-state reactionQu, Xin-Ping / Jiang, Yu-Long / Ru, Guo-Ping / Lu, Fang / Li, Bing-Zong / Detavernier, C. / Van Meirhaeghe, R.L. et al. | 2004
- 151
-
The interfacial reaction of Ni with (111)Ge, (100)Si0.75Ge0.25 and (100)Si at 400 °CJin, L.J. / Pey, K.L. / Choi, W.K. / Fitzgerald, E.A. / Antoniadis, D.A. / Pitera, A.J. / Lee, M.L. / Chi, D.Z. / Tung, C.H. et al. | 2004
- 151
-
The interfacial reaction of Ni with (111)Ge, (100)Si0.75Ge0.25 and (100)Si at 400 (degree)CJin, L.J. et al. | 2004
- 156
-
Investigation of deposition temperature effect on properties of PECVD SiOCH low-k filmsWong, T.K.S. / Liu, B. / Narayanan, B. / Ligatchev, V. / Kumar, R. et al. | 2004
- 161
-
Peeling and delamination in Cu/SiLK™ process during Cu-CMPBalakumar, S. / Chen, X.T. / Chen, Y.W. / Selvaraj, T. / Lin, B.F. / Kumar, R. / Hara, T. / Fujimoto, M. / Shimura, Y. et al. | 2004
- 161
-
Peeling and delamination in Cu-SiLKtrade process during Cu-CMPBalakumar, S. et al. | 2004
- 168
-
Microstructural characterization of low dielectric silica xerogel filmHe, Z.W. / Zhen, C.M. / Liu, X.Q. / Lan, W. / Xu, D.Y. / Wang, Y.Y. et al. | 2004
- 172
-
Comparative study of argon and hydrogen/helium plasma treatments on the properties of Cu/SiLK damascene structures for interconnect technologyLi, C.Y. / Zhang, D.H. / Su, S.S. / Lu, P.W. / He, X. / Jia, G.J. / Chen, Zhe / Wu, S.Y. / Kumar, Rakesh et al. | 2004
- 176
-
Comparative study of Ta, TaN and Ta/TaN bi-layer barriers for Cu-ultra low-k porous polymer integrationYang, L.Y. / Zhang, D.H. / Li, C.Y. / Foo, P.D. et al. | 2004
- 182
-
Characterization of Cu/Ta/ultra low-k porous polymer structures for multilevel interconnectsYang, L.Y. / Zhang, D.H. / Li, C.Y. / Liu, R. / Wee, A.T.S. / Foo, P.D. et al. | 2004
- 186
-
Chemical mechanical polishing of copper layer employing MnO2 slurryHara, Tohru / Balakumar, S. et al. | 2004
- 192
-
Effects of Cu diffusion behaviors on electronic property of Cu/Ta/SiO2/Si structureLi, S. / Park, H.S. / Liang, M.H. / Yip, T.H. / Prabhakar, O. et al. | 2004
- 197
-
Effect of processing parameters on electroless Cu seed layer propertiesEe, Y.C. / Chen, Z. / Chan, L. / See, Alex K.H. / Law, S.B. / Tee, K.C. / Zeng, K.Y. / Shen, L. et al. | 2004
- 202
-
Characterization of the junction leakage of Ti-capped Ni-silicided junctionsToledo, N.G. / Lee, P.S. / Pey, K.L. et al. | 2004
- 209
-
Effects of Ti/Co and Co/Ti systems on the germanosilicidation of poly-Si capped poly-Si1−xGex substrateLi, Y.S. / Lee, P.S. / Pey, K.L. et al. | 2004
- 213
-
Characterization of tetra methyl cyclo tetra siloxanes-based low-k dielectric filmWidodo, J. / Lu, W. / Mhaisalkar, S.G. / Hsia, L.C. / Tan, P.Y. / Shen, L. / Zeng, K.Y. et al. | 2004
- 219
-
Low dielectric constant films prepared by plasma-enhanced chemical vapor deposition from trimethylsilaneWang, M.R. / Rusli / Yu, M.B. / Babu, N. / Li, C.Y. / Rakesh, K. et al. | 2004
- 223
-
Characterization and performance of dielectric diffusion barriers for Cu metallizationChen, Zhe / Prasad, K. / Li, C.Y. / Su, S.S. / Gui, D. / Lu, P.W. / He, X. / Balakumar, S. et al. | 2004
- 227
-
The mechanical properties of ultra-low-dielectric-constant filmsWang, Y.H. / Moitreyee, M.R. / Kumar, R. / Wu, S.Y. / Xie, J.L. / Yew, P. / Subramanian, B. / Shen, L. / Zeng, K.Y. et al. | 2004
- 231
-
Investigation of copper contamination into interlayer dielectrics by copper processKobayashi, Ichiro / Miyazawa, Tomoe / Fujimoto, Masayo / Kawaguchi, Hiroko / Hara, Tohru et al. | 2004
- 235
-
Challenges of pattern transfer for ultra-low-k OSG film AuroratradeULKBliznetsov, Vladimir et al. | 2004
- 235
-
Challenges of pattern transfer for ultra-low-k OSG film Aurora™ULKBliznetsov, Vladimir / Chua, May Lee / Roy, M.-M. / Singh, N. et al. | 2004
- 240
-
Study of copper diffusion into Ta and TaN barrier materials for MOS devicesLoh, S.W. / Zhang, D.H. / Li, C.Y. / Liu, R. / Wee, A.T.S. et al. | 2004
- 245
-
Impact of barrier metal on electrical performance of Cu-low K (Black Diamondtrade) in 0.13(micro)m dual damascene interconnectionLi, H.Y. et al. | 2004
- 245
-
Impact of barrier metal on electrical performance of Cu/low K (Black Diamond) in 0.13mm dual damascene interconnectionLi, H. Y. / Li, C. Y. / Su, Y. J. / Tsang, C. F. et al. | 2004
- 245
-
Impact of barrier metal on electrical performance of Cu/low K (Black Diamond™) in 0.13μm dual damascene interconnectionLi, H.Y. / Li, C.Y. / Su, Y.J. / Tsang, C.F. et al. | 2004
- 250
-
Characterization of low-k dielectric trench surface cleaning after a fluorocarbon etchTan, Y.S. / Chooi, Simon Y.M. / Sin, Chian-Yuh / Ee, Ping-Yu / Srinivasan, M.P. / Pehkonen, S.O. et al. | 2004
- 257
-
C–DIC: a new microscopy method for rational study of phase structures in incident light arrangementDanz, Rainer / Gretscher, Peter et al. | 2004
- 263
-
Overcoming intrinsic weakness of ULSI metallization electromigration performancesTan, C.M. / Zhang, G. et al. | 2004
- 269
-
Comparative studies of physical and chemical properties of plasma-treated CVD low k SiOCH dielectricsTsang, C.F. / Su, Y.J. / Bliznetsov, V.N. et al. | 2004
- 275
-
The use of electroless copper seed in electrochemical deposited copper interconnectGoh, Wang Ling / Tan, Kee Tchuan et al. | 2004
- 279
-
Study of interactions between a-Ta films and SiO2 under rapid thermal annealingYuan, Z.L. et al. | 2004
- 279
-
Study of interactions between α-Ta films and SiO2 under rapid thermal annealingYuan, Z.L. / Zhang, D.H. / Li, C.Y. / Prasad, K. / Tan, C.M. et al. | 2004
- 284
-
Thermal stability of Cu-a-Ta-SiO2-Si structuresYuan, Z.L. et al. | 2004
- 284
-
Thermal stability of Cu/α-Ta/SiO2/Si structuresYuan, Z.L. / Zhang, D.H. / Li, C.Y. / Prasad, K. / Tan, C.M. et al. | 2004
- 288
-
Barrier layer effects on reliabilities of copper metallizationYang, Z.W. / Zhang, D.H. / Li, C.Y. / Tan, C.M. / Prasad, K. et al. | 2004
- 292
-
Enhancing the efficiency of postetch polymer removal using megasonic wet clean for 0.13-μm dual damascene interconnect processChang, C.K. / Foo, T.H. / Murkherjee-Roy, M. / Bliznetov, Vladimir N. / Li, H.Y. et al. | 2004
- 292
-
Enhancing the efficiency of postetch polymer removal using megasonic wet clean for 0.13-(micro)m dual damascene interconnect processChang, C.K. et al. | 2004
- 292
-
Enhancing the efficiency of postetch polymer removal using megasonic wet clean for 0.13-mm dual damascene interconnect processChang, C. K. / Foo, T. H. / Murkherjee-Roy, M. / Bliznetov, V. N. / Li, H. Y. et al. | 2004
- 297
-
Adhesion studies of low-k silsesquioxaneCheng, Yao-Yi / Kan, Jiuq Yi / Lin, I-Shun et al. | 2004
- 302
-
Deep trench etch and clean process technology for CU/SiOC passive deviceYu, M.B. / Bliznetsov, V.N. / Chang, C.K. / Ramana Murthy, B. et al. | 2004
- 306
-
Effect of processing temperature on the properties of sol–gel-derived mesoporous silica filmsYu, Suzhu / Wong, Terence K.S. / Hu, Xiao / Goh, Tat Kean et al. | 2004
- 311
-
Sol-gel derived mesoporous silica films used as low dielectric constant materialsYu, Suzhu / Wong, Terence K.S. / Hu, Xiao / Pita, Kantisara et al. | 2004
- 316
-
Effect of ramp rate on dielectric breakdown in CU–SiOC interconnectsCheng, K.H. / Krishnamoorthy, Ahila et al. | 2004
- 321
-
Effect of surface treatment on dielectric leakage and breakdown of copper damascene interconnectsNgwan, V.C. / Zhu, Chunxiang / Krishnamoorthy, Ahila et al. | 2004
- 325
-
Effect of surface treatment on electromigration in sub-micron Cu damascene interconnectsVairagar, A.V. / Mhaisalkar, S.G. / Krishnamoorthy, Ahila et al. | 2004
- 330
-
Study of leakage mechanisms of the copper-Black Diamondtrade damascene processYiang, K.Y. et al. | 2004
- 330
-
Study of leakage mechanisms of the copper/Black Diamond™ damascene processYiang, K.Y. / Guo, Q. / Yoo, W.J. / Krishnamoorthy, Ahila et al. | 2004
- 334
-
Influence of bonding parameters on electrostatic force in anodic wafer bondingLi, G.Y. / Wang, L. et al. | 2004
- 339
-
Critical study of thermosonic copper ball bondingSrikanth, N. / Murali, S. / Wong, Y.M. / Vath, Charles J. III et al. | 2004
- 346
-
Effects of calcium and palladium on mechanical properties and stored energy of hard-drawn gold bonding wireChew, Y.H. / Wong, C.C. / Breach, C.D. / Wulff, F. / Mhaisalkar, S.G. / Pang, C.I. / Saraswati et al. | 2004
- 351
-
The effects of Ca and Pd dopants on gold bonding wire and gold rodSaraswati, T.S. / Sritharan, T. / Pang, C.I. / Chew, Y.H. / Breach, C.D. / Wulff, F. / Mhaisalkar, S.G. / Wong, C.C. et al. | 2004
- 357
-
Oxidation of bulk Au–Al intermetallicsXu, C. / Breach, C.D. / Sritharan, T. / Wulff, F. / Mhaisalkar, S.G. et al. | 2004
- 363
-
Effect of post-reflow cooling rate on intermetallic compound formation between Sn–3.5 Ag solder and Ni–P under bump metallizationHe, Min / Chen, Zhong / Qi, Guojun / Wong, C.C. / Mhaisalkar, S.G. et al. | 2004
- 370
-
Thermal cycling aging effects on Sn–Ag–Cu solder joint microstructure, IMC and strengthPang, John H.L. / Low, T.H. / Xiong, B.S. / Luhua, Xu / Neo, C.C. et al. | 2004
- 376
-
Intermetallic compound formation between Sn–3.5Ag solder and Ni-based metallization during liquid state reactionHe, Min / Lau, Wee Hua / Qi, Guojun / Chen, Zhong et al. | 2004
- 384
-
Alpha radiation sources in low alpha materials and implications for low alpha materials refinementClark, Brett M. / Weiser, Martin W. / Rasiah, Ignatius J. et al. | 2004
- 387
-
Interfacial reaction between Sn-rich solders and Ni-based metallizationHe, M. / Kumar, A. / Yeo, P.T. / Qi, G.J. / Chen, Z. et al. | 2004
- 395
-
Influence of Sb on IMC growth in Sn–Ag–Cu–Sb Pb-free solder joints in reflow processChen, B.L. / Li, G.Y. et al. | 2004
- 402
-
Aging treatment characteristics of solder bump joint for high reliability optical moduleKim, Kyung-Seob / Yu, Chung-Hee / Yang, Jun-Mo et al. | 2004
- 408
-
Low cycle fatigue models for lead-free soldersPang, John H.L. / Xiong, B.S. / Low, T.H. et al. | 2004
- 413
-
Effect of electromigration on interfacial reactions between electroless Ni-P and Sn–3.5% Ag solderKumar, A. / He, M. / Chen, Z. / Teo, P.S. et al. | 2004
- 419
-
Static and cyclic relaxation studies in nonconductive adhesivesGunawan, M. / Davila, L.T. / Wong, E.H. / Mhaisalkar, S.G. / Tsai, T.K. / Osiyemi, S. et al. | 2004
- 427
-
Empirical equations for moisture absorption of a rigid substrateLee, Teck Kheng / Lee, Kian Chai / Khoo, Sian Yong et al. | 2004
- 436
-
Finite element analysis for microwave cure of underfill in flip chip packagingLiu, Lie / Yi, Sung / Ong, Lin Seng / Chian, Kerm Sin et al. | 2004
- 446
-
Development and reliability of non-conductive adhesive flip-chip packagesTeh, L.K. / Anto, E. / Wong, C.C. / Mhaisalkar, S.G. / Wong, E.H. / Teo, P.S. / Chen, Z. et al. | 2004
- 454
-
EMC characterization and process study for electronics packagingLiu, S.L. / Chen, G. / Yong, M.S. et al. | 2004
- 459
-
Development of compliant coating system for transfer molding of sensitive silicon diceSrikanth, N. / Kuah, T.H. / Ho, S.C. / Vath, Charles J. III et al. | 2004
- 465
-
Material and design considerations of FBGA reliability performanceLee, Teck Kheng / Ng, Teng Chye / Chai, Yih Ming et al. | 2004
- 471
-
Effect of process parameters on sidewall roughness in polymeric optical waveguidesPani, S.K. / Wong, C.C. / Sudharsanam, K. / Mhaisalkar, S.G. / Lim, V. / Mohanraj, S. / Ramana, P.V. et al. | 2004
- 477
-
Thermal, electrical, and mechanical properties of layered substrates for microelectronic applicationsMa, J. / He, Zeming et al. | 2004
- 481
-
Thermal performance of a phase change material on a nickel-plated surfaceNurmawati, M.H. / Siow, K.S. / Rasiah, I.J. et al. | 2004
- 487
-
Glass-to-glass anodic bonding process and electrostatic forceWei, J. / Nai, S.M.L. / Wong, C.K. / Lee, L.C. et al. | 2004
- 492
-
Author Index of Volumes 462–463| 2004
- 494
-
Subject Index of Volumes 462–463| 2004
- iii
-
Editorial Board| 2004