SEMI-PointRend: improved semiconductor wafer defect classification and segmentation as rendering (English)
- New search for: Hwang, MinJin
- New search for: Dey, Bappaditya
- New search for: Dehaerne, Enrique
- New search for: Halder, Sandip
- New search for: Shin, Young-han
- New search for: Robinson, John C.
- New search for: Sendelbach, Matthew J.
- New search for: Hwang, MinJin
- New search for: Dey, Bappaditya
- New search for: Dehaerne, Enrique
- New search for: Halder, Sandip
- New search for: Shin, Young-han
In:
Proc. SPIE
;
12496
; 1249608
;
2023
-
ISBN:
-
ISSN:
- Conference paper / Electronic Resource
-
Title:SEMI-PointRend: improved semiconductor wafer defect classification and segmentation as rendering
-
Contributors:Robinson, John C. ( editor ) / Sendelbach, Matthew J. ( editor ) / Hwang, MinJin ( author ) / Dey, Bappaditya ( author ) / Dehaerne, Enrique ( author ) / Halder, Sandip ( author ) / Shin, Young-han ( author )
-
Conference:Metrology, Inspection, and Process Control XXXVII ; 2023 ; San Jose, California, United States
-
Published in:Proc. SPIE ; 12496 ; 1249608
-
Publisher:
- New search for: SPIE
-
Publication date:2023-04-27
-
ISBN:
-
ISSN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 124960B
-
Full wafer OCD metrology: increasing sampling rate without the cost of ownership penaltyDoutt, Daniel / Chen, Ping-ju / Ravoori, Bhargava / Tran, Tuyen K. / Rothstein, Eitan / Kampel, Nir / Tamam, Lilach / Aboody, Effi / Ger, Avron / Vedala, Harindra et al. | 2023
- 124960C
-
Sensitivity analysis of optical scatterometry technique for high aspect ratio trench measurementGrasland, J. / Le Cunff, D. / Pham, H. L. / Besacier, M. / Tortai, J. H. et al. | 2023
- 124960D
-
TSV stress evolution mapping using in-line Raman spectroscopySchoeche, Stefan / Schmidt, Daniel / Cheng, Marjorie / Cepler, Aron / Arceo de la Pena, Abraham / Oakley, Jennifer et al. | 2023
- 124960E
-
Artificial-neural-network-assisted DUV scatterometry for OCD on HAR sub-micron structuresFu, Zih-Ying / Chein, Wei-Hsin / Yang, Fu-Sheng / Chen, Liang-Chia et al. | 2023
- 124960F
-
Characterization of asymmetries in 3D NAND memory devicesLi, Jie / Srivastava, Shashank / Li, Joyce / Chen, Zhuo / Žuvela, Petar / Chor, Boyang / Deng, Jinyu / Qiu, Haodong / Chang, YaChing / Takabayashi, Sadao et al. | 2023
- 124960G
-
Curved-edge diffractive fringe pattern analysis for wafer edge metrology and inspectionLu, Kuan / Wang, Zhikun / Chun, Heebum / Lee, Chabum et al. | 2023
- 124960H
-
Using active asymmetry control and blind source separation to improve the accuracy of after develop overlay measurementsLee, Jungmin / Lee, Doogyu / Lee, Eunji / Yim, Inbeom / Lee, Jeongjin / Lee, Seung Yoon / Hwang, Chan / Noot, Marc / van Leest, Arno / Mathijssen, Simon et al. | 2023
- 124960I
-
Alignment and overlay through opaque metal layersBlanco Carballo, V. M. / Canga, E. / Jehoul, C. / Moussa, A. / Tamaddon, A. H. / Tabery, C. / Gunjala, G. / Menchtchikov, B. / Zacca, V. G. / Lalbahadoersing, S. et al. | 2023
- 124960J
-
High spatial frequency on-device overlay characterization using CD-SEM contoursBourguignon, Thibaut / Le Gratiet, Bertrand / Pradelles, Jonathan / Bérard-Bergery, Sébastien / Valade, Charles / Schuch, Nivea / Possémé, Nicolas et al. | 2023
- 124960K
-
SEM ADI on device overlay: the advantages and outcomeJo, Sangho / Kim, Jongsu / Park, Youngsik / Lee, Muyoung / Park, Jinhong / Park, Changmin / Yeo, Jeong-Ho / Abramovitz, Yaniv / Kim, You Jin / Shoham, Asaf et al. | 2023
- 124960L
-
Massive overlay metrology solution by realizing imaging Mueller matrix spectroscopic ellipsometrySon, Jaehyeon / Oh, Juntaek / Hwang, Eunsoo / Ahn, Jinwoo / Lee, Jaewon / Oh, Byungkwan / Lee, Donggun / Lim, Seunga / Kang, Kihun / Im, Sangil et al. | 2023
- 124960M
-
Machine learning robustness in overlay metrologyShusterman, Udi / Sveta, Grechin / Ophir, Boaz / Kato, Cindy / Hayashi, Masanobu / Zhao, Shengxun / Ng, Jiehong / Goto, Tomohiro / Imada, Atsushi / Miyake, Manabu et al. | 2023
- 124960N
-
EPE-aware process optimization for scanner dose and overlay in DRAM use caseKwak, Inho / Kim, Nanhyung / Yim, Inbeom / Lee, Jeongjin / Lee, Seung Yoon / Hwang, Chan / Brandt, Pieter / Lyakhova, Kateryna / Mueller, Marco / Kamalizadeh, Ferhad et al. | 2023
- 124960P
-
Multi-metrology: towards parametric yield predictions beyond EPEZach, Franz / Cancheepuram, Srividya / Sah, Kaushik / Gronheid, Roel / Anis, Fatima et al. | 2023
- 124960Q
-
Direct yield prediction from SEM imagesChoona, Lilach / Linshiz, Jasmine / Pres, Shaul / Levant, Boris / Tal, Noam / Santoro, Gaetano / Baudot, Sylvain / Opdebeeck, Ann / Reifsnider, Jason / Vadakupudhu Palayam, Senthil et al. | 2023
- 124960R
-
OPO improvements by Moiré effect-based imagingLi, Yonglei / Lim, Justin / Park, Nahee / Zhang, Yuqian / Liu, Xiaolei / Okada, Yasutaka / Chen, Gloria / McClain, Ben / Hollinger, Erin / Weatherly, Amy et al. | 2023
- 124960S
-
The rise of contour metrology from niche solution to versatile enablerSchuch, Nivea / Robert, Frederic / Figueiro, Thiago et al. | 2023
- 124960T
-
Model validation for scanning electron microscopyRidzel, O. Yu / Yamane, W. / Mansaray, I. / Villarrubia, J. S. et al. | 2023
- 124960U
-
Can remote SEM contours be used to match various SEM tools in fabs?Pradelles, Jonathan / Perraud, Loïc / Sezestre, Elie / Fay, Aurélien / Schuch, Nivea / Figueiro, Thiago / Robert, Frédéric et al. | 2023
- 124960W
-
Simulating HV-SEM imaging of HAR and buried featuresBunday, Benjamin D. / Klotzkin, Shari / Patriarche, Douglas / Ball, Yvette / Mukhtar, Maseeh / Maruyama, Kotaro / Kang, Seul-Ki / Yamazaki, Yuichiro et al. | 2023
- 124960Y
-
A study on defect signal improvement using multi-scan optic patch images and new detection algorithmRyu, Sungyoon / Son, Seunghyeok / Jeon, Chan-Gi / Lee, Sujin / Rim, Minho / Yang, Yusin / Sohn, Younghoon et al. | 2023
- 124960Z
-
EUV grazing-incidence lensless imaging wafer metrologyShen, Tao / Ansuinelli, Paolo / Mochi, Iacopo / Ekinci, Yasin et al. | 2023
- 124961A
-
AI-guided OCD metrology for single HAR sub-micron via measurementYang, Fu-Sheng / Fu, Zih-Ying / Chen, Liang-Chia et al. | 2023
- 124961B
-
Detection of bonding voids for 3D integrationChen, Cong / Van Den Heuvel, Dieter / Beggiato, Matteo / Tunca Altintas, Bensu / Moussa, Alain / Vandooren, Anne / Baudemprez, Bart / Schöbitz, Michael / Khaldi, Wassim / Bogdanowicz, Janusz et al. | 2023
- 124961C
-
Addressing misclassification costs in machine learning through asymmetric loss functionsBarnes, Bryan M. / Henn, Mark-Alexander et al. | 2023
- 124961D
-
Self-supervised deep learning neural network for CD-SEM image denoising using reduced datasetMoly, Alexandre / Schuch, Nivea / Robert, Frederic / Figueiro, Thiago / Bustos, Jessy / Perraud, Loïc / Pradelles, Jonathan / Sezestre, Elie et al. | 2023
- 124961E
-
Depth estimation from SEM images using deep learning and angular data diversityHouben, Tim / Pisarenco, Maxim / Huisman, Thomas / Onvlee, Hans / van der Sommen, Fons / de With, Peter et al. | 2023
- 124961F
-
Semiconductor device metrology for detecting defective chip due to high aspect ratio-based structures using hyperspectral imaging and deep learningJun, Sunhong / Choi, Wonjun / Kim, DongHoon / Park, Hayan / Kyeon, Dongmin / Lee, Kyounghwan / Jeon, Yong-Ju / Lee, Chaemin / Kim, Kwangchul / Ha, Jeongsu et al. | 2023
- 124961G
-
AI-guided optical-model-based superresolution for semiconductor CD metrologyLee, Wei-Yun / Chen, Liang-Chia et al. | 2023
- 124961H
-
Deep learning-based automatic defect classification for semiconductor manufacturingKim, Eunpa / Shin, Myungchul / Ahn, Hee-Jun / Park, Soyoon / Lee, Dong-ryul / Park, Haesung / Shin, Minjung / Ihm, Dongchul et al. | 2023
- 124961I
-
Soft x-ray: novel metrology for 3D profilometry and device pitch overlayPorter, Christina / Coenen, Teis / Geypen, Niels / Scholz, Sandy / van Rijswijk, Loes / Nienhuys, Han-Kwang / Ploegmakers, Jeroen / Reinink, Johan / Cramer, Hugo / van Laarhoven, Rik et al. | 2023
- 124961J
-
Small target compatible dimensional and analytical metrology for semiconductor nanostructures using x-ray fluorescence techniquesHönicke, Philipp / Kayser, Yves / Soltwisch, Victor / Wählisch, Andre / Wauschkuhn, Nils / Scheerder, Jeroen E. / Fleischmann, Claudia / Bogdanowicz, Janusz / Charley, Anne-Laure / Veloso, Anabela et al. | 2023
- 124961K
-
Critical dimension measurement: from synchrotron small angle x-ray scattering to industrial optical scatterometry techniquesChoisnet, Timothée / Hammouti, Abdelali / Gagneur, Vincent / Reche, Jérôme / Rademaker, Guido / Freychet, Guillaume / Jullien, Guillaume / Ducote, Julien / Gergaud, Patrice / Le Cunff, Delphine et al. | 2023
- 124961L
-
Reconstruction of the in-depth profile of line gratings with critical dimension grazing incidence small angle x-ray scattering on a laboratory equipmentFreychet, Guillaume / Rademaker, Guido / Blancquaert, Yoann / Gergaud, Patrice et al. | 2023
- 124961M
-
Pushing the boundaries of EUV scatterometry: reconstruction of complex nanostructures for next-generation transistor technologyCiesielski, Richard / Lohr, Leonhard M. / Mertens, Hans / Charley, Anne-Laure / de Ruyter, Rudi / Bogdanowicz, Janusz / Hönicke, Philipp / Abbasirad, Najmeh / Soltwisch, Victor et al. | 2023
- 124961N
-
A novel method for improving yield prediction by matching layout pattern and defect inspectionHan, Min-Chul / Ko, Chang-Hun / Kim, Cheol-Hwan / Terai, Masayuki / Kim, Hye-Sun / Kwon, Oh-Hun / Cheon, Ji-Hyun / Choi, Jin-Woo / Park, Jung-Hoon / Park, Kyu-Sul et al. | 2023
- 124961O
-
In-situ electrical property quantification of memory devices by modulated electron microscopyFukuda, Muneyuki / Hasumi, Kazuhisa / Nobuhara, Takashi / Kitsuki, Hirohiko / Wang, Zhigang / Nojima, Kazuhiro / Suzuki, Yusaku / Hamaguchi, Akira / Kubo, Masashi / Hosokawa, Masaya et al. | 2023
- 124961P
-
Quantifying CD-SEM contact hole roughness and shape combined with machine learning-based pattern fidelity scores for process optimization and monitoringLee, Hyosung / Lee, Seonho / Rah, Hyungju / Park, Iksun / Lee, Jaeil / Sohn, Jaewoong / Kim, Yongchan / Ehrlich, Christoph / Groeger, Philip / Boese, Sven et al. | 2023
- 124961R
-
Novel depth quantification technique of buried defect for development of non-destructive optical inspectionRim, Min Ho / Yi, Jongsok / Lim, Jungtaek / Kim, Souk / Sohn, Younghoon et al. | 2023
- 124961T
-
Laser-assisted SEM for high-spatial resolution material metrology and inspectionShirasaki, Yasuhiro / Shoji, Minami / Nakamura, Yohei / Mitsugi, Shota / Kimizuka, Heita / Takada, Satoshi / Iwabuchi, Yuko / Tsuno, Natsuki et al. | 2023
- 124961V
-
In-line metrology for vertical edge placement control of monolithic CFET using CD-SEMSun, Wei / Doi, Ayumi / Isawa, Miki / Vega Gonzalez, Victor / Tokei, Zsolt / Lorusso, Gian et al. | 2023
- 124961W
-
Advanced high-voltage e-beam system combined with an enhanced D2DB for on-device overlay measurementKang, Seulki / Maruyama, Kotaro / Yamazaki, Yuichiro / Beggiato, Matteo / Veloso, Anabela / Lorusso, Gian et al. | 2023
- 124961X
-
300mm in-line metrologies for the characterization of ultra-thin layer of 2D materialsMoussa, A. / Bogdanowicz, J. / Groven, B. / Morin, P. / Beggiato, M. / Saib, M. / Santoro, G. / Abramovitz, Y. / Houtchens, K. / Ben Nissim, S. et al. | 2023
- 124961Y
-
Detection of defective areas and hidden weak patterns in the wafer using massive measurement dataKim, DongHoon / Ryu, Sungyoon / Jun, Sunhong / Han, Heeyoon / Choi, Wonjun / Jeon, Yong-Ju / Lee, Hyun / Kim, Souk / Sohn, Younghoon et al. | 2023
- 124961Z
-
Measurement of W-recess profile in advanced node 3D NAND device with IRCD technology utilizing a specialized design-rule compliant targetKeller, Nick / Chen, Zhuo / Wang, Peter / Grynko, Rostislav / Ribaudo, Troy / Antonelli, G. Andrew / Wang, Youcheng / Frederick, Joshua / Takabayashi, Sadao / Hauck, John et al. | 2023
- 124962A
-
Precise 3D profile measurement of high aspect ratio device patterns by small-angle x-ray scatteringSuenaga, R. / Ito, Y. / Goto, T. / Omote, K. et al. | 2023
- 124962B
-
A study of high-accuracy stand-alone wafer metrology tool for high-productivity of exposure apparatusYamaguchi, Wataru / Hirai, Shinichiro / Makino, Ryota / Kijima, Kazuya / Miura, Seiya / Tanaka, Isao / Segawa, Kazuhiro / Chen, Charlie et al. | 2023
- 124962C
-
Enabling layer transfer and back-side power delivery network applications by wafer bonding and scanner correction optimizationsvan Haren, Richard / Li, Suwen / Minghetti, Blandine / van Dijk, Leon / Brantjes, Klaas / Fournel, Frank / Mauguen, Gaëlle / Mendes, Ivanie / Lapeyre, Céline / Pourteau, Marie-Line et al. | 2023
- 124962D
-
Optimizing YOLOv7 for semiconductor defect detectionDehaerne, Enrique / Dey, Bappaditya / Halder, Sandip / De Gendt, Stefan et al. | 2023
- 124962E
-
ADI to in-cell overlay stability improvement for DRAM using novel scatterometry and comprehensive process controlKim, Hyunsok / Ju, Jaewuk / Jeong, Ikhyun / Hong, Baikkyu / Nam, Sunouk / Lee, Changkyu / Lee, Kangmin / Jang, Sumin / Lee, Jaeyoun / Yang, Hongcheon et al. | 2023
- 124962F
-
Absolute alignment measurement of underlayer and overlayer of diffraction-based overlay mark by image-based alignment metrology systemLee, Jaeil / Park, Iksun / Park, Youngjin / Hwang, Jonghyun / Ha, Hyeonjun / Sohn, Jaewoong / Lee, Jaehee / Moon, Jinseok / Kondo, Yuki / Ando, Satoshi et al. | 2023
- 124962I
-
Fourier analysis of spectrum for precision improvement in thickness determined by machine learningShin, Moon Il / Joh, Inhee / Joung, Sayyeon / Lee, Jiwon / Lee, Minhyeok / Kim, Kyusik / Ryu, Shinyoung / Kang, Tae Dong et al. | 2023
- 124962J
-
Numerical study of electromagnetic properties of the 3D through silicon via with high aspect ratioChen, Song-En / Wang, Chih-Chung / Hsu, Hung-Wei / Wu, Yen-Ting / Li, Jia-Han et al. | 2023
- 124962K
-
Frame generation methodology in mask tape-out flow and automation applicationYan, Binbin / Jiang, Miao / Wang, Futian / Liang, Di / Li, Liang / Feng, Wei / Huang, Joer / Wu, Dajun / Lan, Andy / Shi, Jiangliu et al. | 2023
- 124962L
-
Local depth monitoring using scanning electron microscopy and its verification using atomic force microscopyPark, Inseok / Ahn, JaeHyung / Lee, Donghyun / Kim, Souk / Rim, Minho / Sohn, Younghoon et al. | 2023
- 124962M
-
Small imaging overlay metrology targets for advance nodesFeler, Yoel / Shaphirov, Diana / Ghinovker, Mark / Gordon, Katya / Ashuah, Ido / Wu, Yunhua / Lin, Penny et al. | 2023
- 124962N
-
Photoelectron beam technology for SEM imaging with pixel-specific control of irradiation beam currentNishitani, T. / Arakawa, Y. / Noda, S. / Koizumi, A. / Sato, D. / Shikano, H. / Iijima, H. / Honda, Y. / Amano, H. et al. | 2023
- 124962P
-
Edge detection using deep learning pipelines for TEM and SEM metrology by proposing generic and specific approachesLoza, Edgar / Baderot, Julien / Grould, Marion / Pery, Emilie / Chausse, Frédéric / Martinez, Sergio / Foucher, Johann et al. | 2023
- 124962Q
-
An optical critical dimension (OCD) model analysis on 3nm complementary FET (CFET) gate stacksWang, Qi / Wei, Yayi / Wu, Qiang / Li, Yanli / Liu, Xianhe et al. | 2023
- 124962R
-
Scatterometry and machine learning for in-die overlay solutionYeh, June / Chouaib, Houssam et al. | 2023
- 124962S
-
On-line real-time detection system for wafer surface defects based on deep learning and generative adversarial networkHo, Chao-Ching / Chu, Shou-Lin et al. | 2023
- 124962T
-
A generic deep-learning-based defect segmentation model for electron micrographs for automatic defect inspectionJacob, Martin / Hallal, Ali / Baderot, Julien / Barra, Vincent / Guillin, Arnaud / Martinez, Sergio / Foucher, Johann et al. | 2023
- 124962U
-
The development of a machine learning-based excimer laser performance simulator for engineering maintenance decisionsStrock, Jacob P. / Jordan, Levi / Minegishi, Yuji / Tanaka, Satoshi / Isomoto, Katsunori / Asayama, Takeshi / Tomonaga, Takehiko / Kawagoe, Yousuke / Sakou, Yuuki et al. | 2023
- 124962V
-
Mueller matrix spectroscopy and physics-based machine learning for gate-all-around sheet-specific metrologyChouaib, Houssam / Chou, Anderson / Dimastrodonato, Valeria / Lin, Shawn / Hsieh, Ben / Chang, HaoMiao / Chuang, James / Hsiao, Brooks / Pandev, Stilian / Tan, Zhengquan et al. | 2023
- 124962W
-
Determination of deep hole structure for advanced semiconductor devices analyzed by transmission x-ray scatteringGoto, T. / Ito, Y. / Suenaga, R. / Omote, K. et al. | 2023
- 124962X
-
Wide-field massive CD metrology based on the imaging Mueller-matrix ellipsometry for semiconductor devicesOh, Juntaek / Son, Jaehyeon / Hwang, Eunsoo / Ahn, Jinwoo / Lee, Jaewon / Oh, Byungkwan / Lee, Donggun / Lim, Seunga / Kang, Kihun / Im, Sangil et al. | 2023
- 124962Y
-
A study of diffraction-based overlay (DBO) on a 3nm CFET metal layerLi, Yanli / Wei, Yayi / Wu, Qiang / Liu, Xianhe / Wang, Qi et al. | 2023
- 124962Z
-
A study of 3nm CFET middle-of-the-line contact layer OCD measurement sensitivityLiu, Xianhe / Wu, Qiang / Wang, Qi / Li, Yanli et al. | 2023
- 124963A
-
Parallax method for diffraction-based single-cell overlay and film thickness measurementKot, Mordecai / Lamhot, Yuval / Yagil, Alon / Yaziv, Tal / Gutman, Nadav / Milo, Renan et al. | 2023
- 124963B
-
Precise optical constant determination in the soft x-ray, EUV, and VUV spectral rangeAbbasirad, Najmeh / Saadeh, Qais / Ciesielski, Richard / Gottwald, Alexander / Philippsen, Vicky / Makhotkin, Igor / Sokolov, Andrey / Kolbe, Michael / Scholze, Frank / Soltwisch, Victor et al. | 2023
- 124963C
-
Large field of view metrology: detecting critical edge placement error signatures not seen with small field of view in an HVM environmentRidane, Mohamed / Chen, Ivy / Song, Jaden / Nikolsky, Peter / Chen, Kuan-Ming / Lee, Shinyeong / Park, Sean / Lin, Kolos / Su, Yu-Chi / Cho, Kyoyeon et al. | 2023
- 124963D
-
Advanced overlay metrology for 3D NAND bonding applicationsGrauer, Yoav / Eisenbach, Shlomo / Penia, Motti / Elka, Dror / Simkin, Arkady / Safrani, Avner / Megged, Efi et al. | 2023
- 124963E
-
Tracing optimized condition for electron beam metrology of EUV photoresist pattern using low-landing energyJung, Woosung / Cho, Jong-Hoi / Lim, SungHun / Lee, TaeSeop / Choi, DaeYoung / Seo, Jong-Hyun / Lee, SeungHyun / Lee, JunKyoung / Kim, You Jin / Yeo, Jeong Ho et al. | 2023
- 124963F
-
Comparison between supervised and self-supervised deep learning for SEM image denoisingOkuda, Tomoyuki / Chen, Jun / Motoyoshi, Takahiro / Yumiba, Ryou / Ishikawa, Masayoshi / Toyoda, Yasutaka et al. | 2023
- 124963G
-
New metrology technique for measuring the free shape of a patterned 300mm wafer held verticallyTrujillo-Sevilla, Juan M. / Casanova-González, Óscar / Roqué-Velasco, Alex / Jesús Sicilia, Miguel / Ramos-Rodríguez, Jose Manuel / Gaudestad, Jan O. et al. | 2023
- 124963J
-
AI-guided reliability diagnosis for 5,7nm automotive processKim, Dongin / Lee, Hyung Joo / Choi, Sanghyun / Hong, Seungpyo / Lee, Seungjae / Kwak, Doohwan / Jayaram, Srividya / Paek, Seungwon / Kwon, Minho / Kim, Yeongdo et al. | 2023
- 124963K
-
Wafer yield prediction using AI: potentials and pitfallsBusch, Rebecca / Wahl, Michael / Choubey, Bhaskar et al. | 2023
- 124963M
-
3D measurement using SEM technologySohn, Younghoon / Ahn, JaeHyung / Park, Inseok / Kim, Souk / Kim, DongHoon et al. | 2023
- 124963N
-
Exploiting spatial characteristic of wafer for effective OCW: area partitioning OCWSong, Gilwoo / Kang, Dooho / Kim, Sungchai / Park, Sungwon / Jo, Taeyong / Kum, Euiseok / Lee, Jonghyun / Park, Dooho / Kim, Dongmin / Uh, Sungwoon et al. | 2023
- 124963O
-
Effective tool induced shift (eTIS) for determining the total measurement uncertainty (TMU) in overlay metrologyKim, Hyunsok / Jeong, Ikhyun / Hong, Baikkyu / Ham, Sejung / Kim, Dongsu / Lim, Dongsuk / Lee, Kangmin / Lee, Jeongpyo / Jung, Minho / Oh, Nanglyeom et al. | 2023
- 124963P
-
General effective medium model for phonon heat flow in highly confined semiconductor nanosystemsMcBennett, Brendan / Beardo, Albert / Nelson, Emma E. / Li, Baowen / Kaptyen, Henry C. / Murnane, Margaret M. / Knobloch, Joshua L. et al. | 2023
- 1249601
-
Front Matter: Volume 12496| 2023
- 1249603
-
Overlay challenges in the era of high-NAWeiss, M. et al. | 2023
- 1249605
-
Photoresist shrinkage observation by a metrological tilting-AFMKizu, Ryosuke / Misumi, Ichiko / Hirai, Akiko / Gonda, Satoshi et al. | 2023
- 1249607
-
Unbiased roughness measurements for 0.55NA EUV material setupMathew, Bobin / Arad, Shahar / Brand, Omri / Frank, Tal / Alkoken, Ran / Shilo, Yael / Melamed, Yarden / Yosef, Rotem Mor / Suh, Hyo Seon / Heo, Seonggil et al. | 2023
- 1249608
-
SEMI-PointRend: improved semiconductor wafer defect classification and segmentation as renderingHwang, MinJin / Dey, Bappaditya / Dehaerne, Enrique / Halder, Sandip / Shin, Young-han et al. | 2023
- 1249609
-
Overlay and edge placement error metrology in the era of stochasticsMack, Chris A. / Adel, Michael E. et al. | 2023
- 1249610
-
Mueller matrix metrology with multi-angle information using multiple self-interferenceChoi, Garam / Kim, Jinyong / Han, Daehoon / Jin, Young-Uk / Hwang, Soonhong / Kim, Jinseob / Kim, Wookrae / Jung, Jaehwang / Lee, Seungwoo / Kim, Taejoong et al. | 2023
- 1249611
-
High-density CD measurement technology with high throughput and high precision on the entire surface of a process wafer, capable of capturing randomly occurring CD defectsFukazawa, Kazuhiko / Hirukawa, Shigeru / Miyazaki, Yosuke / Fujimori, Yoshihiko / Iwaki, Tomohiro / Okada, Tomonori et al. | 2023
- 1249612
-
Dry resist metrology readiness for high-NA EUVLLorusso, Gian Francesco / Van Den Heuvel, Dieter / Zidan, Mohamed / Moussa, Alain / Beral, Christophe / Charley, Anne-Laure / De Simone, Danilo / De Silva, Anuja / Verveniotis, Elisseos / Haider, Ali et al. | 2023
- 1249613
-
OPO robustness and measurability improvement via extended wavelengthsKatz, Shlomit / Roy, Nikhil Aditya Kumar / McCandless, Steve / Reece, Jason / Gillespie, Nathan / Monserud, Nils / Grauer, Yoav / Stakely, Mark / Gray, Greg / Li, Yonglei et al. | 2023
- 1249614
-
Multi-modal tabletop EUV reflectometry for characterization of nanostructuresEsashi, Yuka / Jenkins, Nicholas W. / Tanksalvala, Michael / Shao, Yunzhe / McBennett, Brendan / Knobloch, Joshua L. / Kapteyn, Henry C. / Murnane, Margaret M. et al. | 2023
- 1249615
-
High repeatability and low shrinkage solution using CD-SEM for EUV resistSugie, Masaki / Kameda, Toshimasa / Mizutani, Shunsuke et al. | 2023
- 1249618
-
Overlay performance in permanent bonded wafer integration schemesIp, Nathan / Belyansky, Michael / Netzband, Christopher / Kohama, Norifumi / Johnson, Richard / Hosadurga, Shobha / Wong, Jack / Arnold, John / Choi, Kisik / Li, Wai Kin et al. | 2023
- 1249619
-
Characteristic Mueller matrix responses and correlation analysis for vertical GAA nanowire structure manufacturing using RCWA simulated spectraWadsworth, Colin / Pasikatan, Ezra / Keller, Nicholas / Antonelli, Andrew / Diebold, Alain C. et al. | 2023
- 1249620
-
Enhancement of overlay metrology accuracy by multi-wavelength scatterometry with rotated quadrupole illuminationKim, Hyunsok / Jeong, Ikhyun / Hong, Baikkyu / Nam, Sunouk / Jang, Sumin / Lee, Kangmin / Su, Hongpeng / Jeong, Minho / Kim, Mingyu / Yang, Hongcheon et al. | 2023
- 1249624
-
Scatterometry overlay (SCOL) measurement of small cell target using pupil optimizationGdor, Itay / Nagar, Einat / Yaziv, Tal / Wu, Yunhua / Hajaj, Eitan / Lubashevsky, Yuval / Negri, Daria et al. | 2023
- 1249625
-
Machine-learning-based error detection modeling and feature scoring for error cause analysis of CD-SEMsYoshida, Yasuhiro / Ishikawa, Masayoshi / Sasajima, Fumihiro / Ohkoshi, Shigeo / Takano, Masami et al. | 2023
- 1249626
-
Residuals reduction in imaging-based overlay using color per layerKatz, Shlomit / Park, Suk Won / You, Joonsang / Kim, Hyunjun / Lee, Honggoo / Kim, Jungchan / Lee, Dongyoung / Yeon, Hongbok / Lee, Joonseuk / Lee, Sang-Ho et al. | 2023
- 1249627
-
Optimizations of APC multivariate algorithm for linear mix and match overlay controlDuclaux, Benjamin / Gatefait, Maxime / Pelletier, Alice / Lecarpentier, Laurent / Leveque, Pierre / Monget, Cédric et al. | 2023
- 1249628
-
Investigation of device overlay variation and control metrology in 3D-NAND processLiu, Yu-Lin / Chang, Li-Ting / Hsu, Kai-Bang / Yang, Mars / Yang, Elvis / Yang, T. H. / Chen, K. C. et al. | 2023
- 1249629
-
Novel electron beam technology using InGaN photocathode for high-throughput scanning electron microscope imagingSato, Daiki / Koizumi, Atsushi / Shikano, Haruka / Noda, Shotaro / Otsuka, Yohei / Yasufuku, Daisuke / Mori, Kazumasa / Iijima, Hokuto / Nishitani, Tomohiro / Honda, Yoshio et al. | 2023
- 1249630
-
Laser assisted SEM for visualizing electrical property using voltage contrast dynamicsShoji, Minami / Nakamura, Yohei / Shirasaki, Yasuhiro / Mitsugi, Shota / Kimizuka, Heita / Takada, Satoshi / Iwabuchi, Yuko / Tsuno, Natsuki et al. | 2023
- 1249631
-
The role of neutral drag force in pulsed plasma enabled particle contamination controlBeckers, Job / van Huijstee, Judith / Blom, Paul / Medini, Federico / Peijnenburg, Ton et al. | 2023
- 1249632
-
Wafer in process impact simulation caused by lens calibrationYoo, Jae-Doug / Song, Young-Hoon / Lee, Jun-Hyung / Yang, Ha-Neul et al. | 2023
- 1249633
-
Die-level nano-topography metrology to characterize the stress-induced in-plane distortion contribution to overlayBalan, Viorel / Michel, Florent / Mendes, Ivanie / Lapeyre, Celine / Vignoud, Lionel / Otten, Ronald / Mouraille, Orion / van Dijk, Leon / Minghetti, Blandine / Depre, Jerome et al. | 2023
- 1249634
-
Ultra-thin uncooled integrable-on-chip detector to measure wide infrared radiation residue in lithography exposure and metrology inspection toolsJahangiri, Mojtaba / Pawluczyk, Jaroslaw / Dąbrowski, Karol / Nihtianov, Stoyan et al. | 2023
- 1249635
-
Automatic defect classification (ADC) solution using data-centric artificial intelligence (AI) for outgoing quality inspections in the semiconductor industryAnilturk, Onder / Lumanauw, Edwin / Bird, James / Olloniego, Juan / Laird, Dillon / Fernandez, Juan Camilo / Killough, Quinn et al. | 2023
- 1249637
-
Deep learning for the analysis of x-ray scattering data from high aspect ratio structuresBaranovskiy, Andrei / Grinberg, Inbar / Greene, Michael G. / Amasay, Yehonatan / Wormington, Matthew et al. | 2023
- 1249638
-
Parallel MEMS AFM for high-throughput semiconductor metrology and inspectionCao, Zhenle / Sullivan, Wyatt / Bunday, Benjamin D. / Morris, David R. P. et al. | 2023
- 1249639
-
Automated (S)TEM metrology characterization of gate-all-around and 3D NAND devicesStrauss, Michael / Li, Chen / Hakala, Chris / Gu, Xiaoting / Mani, Antonio / Zhong, Zhenxin et al. | 2023