Evaluating advanced fuel candidates in surface cleaning of optics by plasma exposure (SCOPE) (English)
- New search for: Neumann, M. J.
- New search for: Shin, H.
- New search for: Qiu, H.
- New search for: Ritz, E.
- New search for: DeFrees, R. A.
- New search for: Hendricks, M. R.
- New search for: Alman, D. A.
- New search for: Jurczyk, B. E.
- New search for: Ruzic, D. N.
- New search for: Bristol, R.
- New search for: Neumann, M. J.
- New search for: Shin, H.
- New search for: Qiu, H.
- New search for: Ritz, E.
- New search for: DeFrees, R. A.
- New search for: Hendricks, M. R.
- New search for: Alman, D. A.
- New search for: Jurczyk, B. E.
- New search for: Ruzic, D. N.
- New search for: Bristol, R.
In:
Proc. SPIE
;
5751
; 1125
;
2005
-
ISBN:
-
ISSN:
- Conference paper / Electronic Resource
-
Title:Evaluating advanced fuel candidates in surface cleaning of optics by plasma exposure (SCOPE)
-
Contributors:Neumann, M. J. ( author ) / Shin, H. ( author ) / Qiu, H. ( author ) / Ritz, E. ( author ) / DeFrees, R. A. ( author ) / Hendricks, M. R. ( author ) / Alman, D. A. ( author ) / Jurczyk, B. E. ( author ) / Ruzic, D. N. ( author ) / Bristol, R. ( author )
-
Conference:Emerging Lithographic Technologies IX ; 2005 ; San Jose,California,United States
-
Published in:Proc. SPIE ; 5751 ; 1125
-
Publisher:
- New search for: SPIE
-
Publication date:2005-05-06
-
ISBN:
-
ISSN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
Full-chip lithography simulation and design analysis: how OPC is changing IC design (Plenary Paper) [5751-200]Spence, C. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1
-
Full-chip lithography simulation and design analysis: how OPC is changing IC designSpence, Chris et al. | 2005
- 15
-
Lithographic technologies that haven't (yet) made it: lessons learned (Plenary Paper)Pease, R. Fabian et al. | 2005
- 15
-
Lithographic technologies that haven't (yet) made it: lessons learned (Plenary Paper) [5751-202]Pease, R. F. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 26
-
Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper) [5751-01]Steen, S. E. / McNab, S. J. / Sekaric, L. / Babich, I. / Patel, J. / Bucchignano, J. / Rooks, M. / Fried, D. M. / Topol, A. W. / Brancaccio, J. R. et al. | 2005
- 26
-
Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)Steen, S. E. / McNab, S. J. / Sekaric, L. / Babich, I. / Patel, J. / Bucchignano, J. / Rooks, M. / Fried, D. M. / Topol, A. W. / Brancaccio, J. R. et al. | 2005
- 35
-
Electron beam direct write lithography flexibility for ASIC manufacturing: an opportunity for cost reduction (Keynote Paper) [5751-02]Pain, L. / Jurdit, M. / Todeschini, J. / Manakli, S. / Icard, B. / Minghetti, B. / Bervin, G. / Beverina, A. / Leverd, F. / Broekaart, M. et al. | 2005
- 35
-
Electron beam direct write lithography flexibility for ASIC manufacturing: an opportunity for cost reduction (Keynote Paper)Pain, L. / Jurdit, M. / Todeschini, J. / Manakli, S. / Icard, B. / Minghetti, B. / Bervin, G. / Beverina, A. / Leverd, F. / Broekaart, M. et al. | 2005
- 46
-
Nanoimprint lithography: the path toward high-tech low-cost devices (Keynote Paper) [5751-03]Tong, W. M. / Hector, S. D. / Jung, G.-Y. / Wu, W. / Ellenson, J. / Kramer, K. / Hostetler, T. / Richards, S. K. / Williams, R. S. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 46
-
Nanoimprint lithography: the path toward high-tech, low-cost devices (Keynote Paper)Tong, William M. / Hector, Scott D. / Jung, Gun-Young / Wu, Wei / Ellenson, James / Kramer, Kenneth / Hostetler, Timothy / Richards, Susan K. / Williams, R. S. et al. | 2005
- 56
-
EUV microexposures at the ALS using the 0.3-NA MET projection optics [5751-04]Naulleau, P. / Goldberg, K. A. / Anderson, E. / Cain, J. P. / Denham, P. / Hoef, B. / Jackson, K. / Morlens, A.-S. / Rekawa, S. / Dean, K. et al. | 2005
- 56
-
EUV microexposures at the ALS using the 0.3-NA MET projection opticsNaulleau, Patrick / Goldberg, Kenneth A. / Anderson, Erik / Cain, Jason P. / Denham, Paul / Hoef, Brian / Jackson, Keith / Morlens, Anne-Sophie / Rekawa, Seno / Dean, Kim et al. | 2005
- 64
-
One small step: world's first integrated EUVL process lineRoberts, Jeanette M. / Bacuita, Terence / Bristol, Robert L. / Cao, Heidi B. / Chandhok, Manish / Lee, Sang H. / Panning, Eric M. / Shell, Melissa / Zhang, Guojing / Rice, Bryan J. et al. | 2005
- 64
-
One small step: world's first integrated EUVL process line [5751-05]Roberts, J. M. / Bacuita, T. / Bristol, R. L. / Cao, H. B. / Chandhok, M. / Lee, S. H. / Panning, E. M. / Shell, M. / Zhang, G. / Rice, B. J. et al. | 2005
- 78
-
High-resolution EUV imaging tools for resist exposure and aerial image monitoringBooth, M. / Brisco, O. / Brunton, A. / Cashmore, J. / Elbourn, P / Elliner, G. / Gower, M. / Greuters, J. / Grunewald, P. / Gutierrez, R. et al. | 2005
- 78
-
High-resolution EUV imaging tools for resist exposure and aerial image monitoring [5751-06]Booth, M. / Brisco, O. / Brunton, A. / Cashmore, J. / Elbourn, P. / Elliner, G. / Gower, M. / Greuters, J. / Grunewald, P. / Gutierrez, R. et al. | 2005
- 90
-
Development of the ASML EUV alpha demo toolMeiling, Hans / Banine, Vadim / Harned, Noreen / Blum, Brian / Kuerz, Peter / Meijer, Henk et al. | 2005
- 90
-
Development of the ASML EUV alpha demo tool [5751-07]Meiling, H. / Banine, V. / Harned, N. / Blum, B. / Kurz, P. / Meijer, H. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 102
-
Lithographic performance of high-numerical-aperture (NA=0.3) EUV small-field exposure tool (HINA) [5751-08]Oizumi, H. / Tanaka, Y. / Kumasaka, F. / Nishiyama, I. / Kondo, H. / Shiraishi, M. / Oshino, T. / Sugisaki, K. / Murakami, K. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 102
-
Lithographic performance of high-numerical-aperture (NA=0.3) EUV small-field exposure tool (HINA)Oizumi, H. / Tanaka, Y. / Kumasaka, F. / Nishiyama, I. / Kondo, H. / Shiraishi, M. / Oshino, T. / Sugisaki, K. / Murakami, K. et al. | 2005
- 110
-
Comparison of EUV interferometry methods in EUVA projectKato, Seima / Ouchi, Chidane / Hasegawa, Masanobu / Suzuki, Akiyoshi / Hasegawa, Takayuki / Sugisaki, Katsumi / Okada, Masashi / Zhu, Yucong / Murakami, Katsuhiko / Saito, Jun et al. | 2005
- 110
-
Comparison of EUV interferometry methods in EUVA project [5751-09]Kato, S. / Ouchi, C. / Hasegawa, M. / Suzuki, A. / Hasegawa, T. / Sugisaki, K. / Okada, M. / Zhu, Y. / Murakami, K. / Satio, J. et al. | 2005
- 118
-
Oxidation resistance of Ru-capped EUV multilayersBajt, Sasa / Dai, Zu Rong / Nelson, Erik J. / Wall, Mark A. / Alameda, Jennifer / Nguyen, Nhan / Baker, Sherry / Robinson, Jeffrey C. / Taylor, John S. / Clift, Miles et al. | 2005
- 118
-
Oxidation resistance of Ru-capped EUV multilayers [5751-10]Bajt, S. / Dai, Z. R. / Nelson, E. J. / Wall, M. A. / Alameda, J. / Nguyen, N. / Baker, S. / Robinson, J. C. / Taylor, J. S. / Clift, M. et al. | 2005
- 128
-
Investigation of plasma-induced erosion of multilayer condenser optics [5751-11]Anderson, R. J. / Buchenauer, D. A. / Williams, K. A. / Clift, W. M. / Klebanoff, L. E. / Edwards, N. V. / Wood, O. R. / Wurm, S. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 128
-
Investigation of plasma-induced erosion of multilayer condenser opticsAnderson, Richard J. / Buchenauer, Dean A. / Williams, K. A. / Clift, W. M. / Klebanoff, L. E. / Edwards, N. V. / Wood, O. R. / Wurm, S. et al. | 2005
- 140
-
Substrate smoothing for high-temperature condenser operation in EUVL source environments [5751-12]Soufli, R. / Baker, S. L. / Ratti, S. / Robinson, J. C. / Bajt, S. / Alameda, J. B. / Spiller, E. / Taylor, J. S. / Gullikson, E. M. / Dollar, F. J. et al. | 2005
- 140
-
Substrate smoothing for high-temperature condenser operation in EUVL source environmentsSoufli, Regina / Baker, Sherry L. / Ratti, Susan / Robinson, Jeff C. / Bajt, Sasa / Alameda, Jennifer B. / Spiller, Eberhard / Taylor, John S. / Gullikson, Eric M. / Dollar, Franklin J. et al. | 2005
- 146
-
Low thermal expansion substrate material for EUVL components applicationNakajima, Kousuke / Nakajima, Toshihide / Owari, Yoshiyuki et al. | 2005
- 146
-
Low thermal expansion substrate material for EUVL components application [5751-13]Nakajima, K. / Nakajima, T. / Owari, Y. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 158
-
Development of low damage mask making process on EUV mask with thin CrN buffer layer [5751-14]Kureishi, M. / Ohkubo, R. / Hosoya, M. / Shoki, T. / Sakaya, N. / Kobayashi, H. / Nozawa, O. / Usui, Y. / Nagarekawa, O. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 158
-
Development of low damage mask making process on EUV mask with thin CrN buffer layerKureishi, Mitsuhiro / Ohkubo, Ryo / Hosoya, Morio / Shoki, Tsutomu / Sakaya, Noriyuki / Kobayashi, Hideo / Nozawa, Osamu / Usui, Yoh-ichi / Nagarekawa, Osamu et al. | 2005
- 168
-
Progress towards the development of a commercial tool and process for EUVL mask blanksMa, Andy / Kearney, Patrick / Krick, Dave / Randive, Rajul / Reiss, Ira / Mirkarimi, Paul / Spiller, Eberhard et al. | 2005
- 168
-
Progress towards the development of a commercial tool and process for EUVL mask blanks [5751-15]Ma, A. / Kearney, P. / Krick, D. / Randive, R. / Reiss, I. / Mirkarimi, P. / Spiller, E. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 178
-
EUV mask blank readiness for 45-nm HP 2009 manufacturingSeidel, Phil et al. | 2005
- 178
-
EUV mask blank readiness for 45-nm HP 2009 manufacturing [5751-17]Seidel, P. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 190
-
Recent results on EUV mask blank multilayers and absorbersSeitz, Holger / Sobel, Frank / Renno, Markus / Leutbecher, Thomas / Olschewski, Nathalie / Reichardt, Thorsten / Walter, Ronny / Becker, Hans / Buttgereit, Ute / Hess, Guenter et al. | 2005
- 190
-
Recent results on EUV mask blank multilayers and absorbers [5751-18]Seitz, H. / Sobel, F. / Renno, M. / Leutbecher, T. / Olschewski, N. / Reichardt, T. / Walter, R. / Becker, H. / Buttgereit, U. / Hess, G. et al. | 2005
- 200
-
Simulation of fluid flow in the step and flash imprint lithography process [5751-20]Reddy, S. / Bonnecaze, R. T. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 200
-
Simulation of fluid flow in the step and flash imprint lithography processReddy, Shravanthi / Bonnecaze, Roger T. et al. | 2005
- 210
-
Direct imprinting of dielectric materials for dual damascene processing [5751-21]Stewart, M. D. / Wetzel, J. T. / Schmid, G. M. / Palmieri, F. / Thompson, E. / Kim, E. K. / Wang, D. / Sotodeh, K. / Jen, K. / Johnson, S. C. et al. | 2005
- 210
-
Direct imprinting of dielectric materials for dual damascene processingStewart, Michael D. / Wetzel, Jeffery T. / Schmid, Gerard M. / Palmieri, Frank / Thompson, Ecron / Kim, Eui Kyoon / Wang, David / Sotodeh, Ken / Jen, Kane / Johnson, Stephen C. et al. | 2005
- 219
-
Development of an etch-definable lift-off process for use with step and flash imprint lithography [5751-22]Le, N. V. / Gehoski, K. A. / Dauksher, W. J. / Baker, J. H. / Resnick, D. J. / Dues, L. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 219
-
Development of an etch-definable lift-off process for use with step and flash imprint lithographyLe, Ngoc V. / Gehoski, Kathleen A. / Dauksher, William J. / Baker, Jeffrey H. / Resnick, Doug J. / Dues, Laura et al. | 2005
- 227
-
Step and repeat UV-nanoimprint lithography using a large area stamp [5751-24]Jeong, J. / Sim, Y. / Sohn, H. / Lee, E. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 227
-
Step and repeat UV-nanoimprint lithography using a large area stampJeong, Jun-ho / Sim, Young-suk / Sohn, Hyonkee / Lee, Eung-sug et al. | 2005
- 236
-
EUV sources for EUV lithography in alpha-, beta-, and high volume chip manufacturing: an update on GDPP and LPP technology [5751-25]Stamm, U. / Kleinschmidt, J. / Gabel, K. / Hergenhan, G. / Ziener, C. / Schriever, G. / Ahmad, I. / Bolshukhin, D. / Brudermann, J. / de Bruijn, R. et al. | 2005
- 236
-
EUV sources for EUV lithography in alpha-, beta-, and high volume chip manufacturing: an update on GDPP and LPP technologyStamm, U. / Kleinschmidt, J. / Gabel, K. / Hergenhan, G. / Ziener, C. / Schriever, G. / Ahmad, I. / Bolshukhin, D. / Brudermann, J. / de Bruijn, R. et al. | 2005
- 248
-
EUV source system development update: advancing along the path to HVM [5751-26]Myers, D. W. / Fomenkov, I. V. / Hansson, B. A. M. / Klene, B. C. / Brandt, D. C. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 248
-
EUV source system development update: advancing along the path to HVMMyers, D. W. / Fomenkov, I. V. / Hansson, B. A. M. / Klene, B. C. / Brandt, D. C. et al. | 2005
- 260
-
Integrating Philips' extreme UV source in the alpha-tools [5751-27]Pankert, J. / Apetz, R. / Bergmann, K. / Derra, G. / Janssen, M. / Jonkers, J. / Klein, J. / Krucken, T. / List, A. / Loeken, M. et al. | 2005
- 260
-
Integrating Philips' extreme UV source in the alpha-toolsPankert, Joseph / Apetz, Rolf / Bergmann, Klaus / Derra, Guenther / Janssen, Maurice / Jonkers, Jeroen / Klein, Jurgen / Kruecken, Thomas / List, Andreas / Loeken, Michael et al. | 2005
- 272
-
Performance of kilowatt-class laser modules in scaling up laser produced plasma (LPP) EUV sourceEllwi, Samir / Comley, Andrew / Hay, Nick / Henderson, Ian / Brownell, Michael et al. | 2005
- 272
-
Performance of kilowatt-class laser modules in scaling up laser produced plasma (LPP) EUV source [5751-29]Ellwi, S. / Comley, A. / Hay, N. / Henderson, I. / Brownell, M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 279
-
High conversion efficiency microscopic tin-doped droplet target laser-plasma source for EUVL [5751-30]Koay, C.-S. / George, S. / Takenoshita, K. / Bernath, R. / Fujiwara, E. / Richardson, M. / Bakshi, V. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 279
-
High conversion efficiency microscopic tin-doped droplet target laser-plasma source for EUVLKoay, Chiew-Seng / George, Simi / Takenoshita, Kazutoshi / Bernath, Robert / Fujiwara, Etsuo / Richardson, Martin / Bakshi, Vivek et al. | 2005
- 293
-
Characterization of flare on Intel’s EUV METLee, Sang Hun / Chandhok, Manish / Roberts, Jeanette / Rice, Bryan J. et al. | 2005
- 293
-
Characterization of flare on Intel's EUV MET [5751-31]Lee, S. H. / Chandhok, M. / Roberts, J. / Rice, B. J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 301
-
Lithographic measurement of EUV flare in the 0.3-NA micro exposure tool optic at the Advanced Laser Source [5751-32]Cain, J. P. / Naulleau, P. / Spanos, C. J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 301
-
Lithographic measurement of EUV flare in the 0.3-NA micro exposure tool optic at the Advanced Laser SourceCain, Jason P. / Naulleau, Patrick / Spanos, Costas J. et al. | 2005
- 312
-
EUV focus sensor: design and modelingGoldberg, Kenneth A. / Teyssier, Maureen E. / Liddle, J. Alexander et al. | 2005
- 312
-
EUV focus sensor: design and modeling [5751-33]Goldberg, K. A. / Teyssier, M. E. / Liddle, J. A. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 320
-
Layout compensation for EUV flareSchellenberg, Franklin M. / Word, James / Toublan, Olivier et al. | 2005
- 320
-
Layout compensation for EUV flare [5751-35]Schellenberg, F. M. / Word, J. / Toublan, O. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 330
-
Zone-plate-array lithography (ZPAL): optical maskless lithography for cost-effective patterning [5751-36]Menon, R. / Patel, A. / Chao, D. / Walsh, M. / Smith, H. I. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 330
-
Zone-plate-array lithography (ZPAL): optical maskless lithography for cost-effective patterningMenon, Rajesh / Patel, Amil / Chao, David / Walsh, Michael / Smith, Henry I. et al. | 2005
- 340
-
Arrayed microcolumns for high throughput lithographyKim, Ho Seob / Kim, Dae-Wook / Ahn, Seung Joon / Kim, Young Chul / Jang, Yong / Kim, Hyeng Woo / Choi, Sang Kook / Kim, Dae Yong et al. | 2005
- 340
-
Arrayed microcolumns for high throughput lithography [5751-37]Kim, H. S. / Kim, D.-W. / Ahn, S. J. / Kim, Y. C. / Jang, Y. / Kim, H. W. / Choi, S. K. / Kim, D. Y. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 349
-
Maskless EUV lithography via optically addressed modulator [5751-38]McGeoch, M. W. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 349
-
Maskless EUV lithography via optically addressed modulatorMcGeoch, Malcolm W. et al. | 2005
- 355
-
Proof-of-concept tool development for projection mask-less lithography (PML2)Doering, Hans-Joachim / Elster, Thomas / Heinitz, Joachim / Fortagne, Olaf / Brandstaetter, Christoph / Haugeneder, Ernst / Eder-Kapl, Stefan / Lammer, Gertraud / Loeschner, Hans / Reimer, Klaus et al. | 2005
- 355
-
Proof-of-concept tool development for projection mask-less lithography (PML2) [5751-39]Doering, H.-J. / Elster, T. / Heinitz, J. / Fortagne, O. / Brandstaetter, C. / Haugeneder, E. / Eder-Kapl, S. / Lammer, G. / Loeschner, H. / Reimer, K. et al. | 2005
- 366
-
Complexity reduction for C4 compression for implementation in maskless lithography datapath [5751-40]Dai, V. / Zakhor, A. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 366
-
Complexity reduction for C4 compression for implementation in maskless lithography datapathDai, Vito / Zakhor, Avideh et al. | 2005
- 382
-
Mask fabrication towards sub-10 nm imprint lithographyGu, Jian / Jen, Chun-Ping / Wei, Qihuo / Chou, Chiafu / Zenhausern, Frederic et al. | 2005
- 382
-
Mask fabrication towards sub-10 nm imprint lithography [5751-41]Gu, J. / Jen, C.-P. / Wei, Q. / Chou, C. / Zenhausern, F. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 392
-
Fabrication of a 3D nano-imprint template with a conformal dry vapor deposited electron beam resistBeauvais, Jacques / Lavallee, Eric / Zanzal, Andrew / Drouin, Dominique / Lau, Kien Mun / Veres, Teodor / Cui, Bo et al. | 2005
- 392
-
Fabrication of a 3D nano-imprint template with a conformal dry vapor deposited electron beam resist [5751-42]Beauvais, J. / Lavallee, E. / Zanzal, A. / Drouin, D. / Lau, K. M. / Veres, T. / Cui, B. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 400
-
High durable mold fabricated with hot-embossing a sol-gel derived organically modified silicate film [5751-43]Ito, E. / Hasui, K. / Tomiki, M. / Okamoto, N. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 400
-
High durable mold fabricated with hot-embossing a sol-gel derived organically modified silicate filmIto, Eri / Hasui, Kenjiro / Tomiki, Masahiro / Okamoto, Naomichi et al. | 2005
- 410
-
Perfluoropolyethers as novel materials for soft lithographyRolland, Jason P. / Hagberg, Eric C. / Carter, Kenneth R. / DeSimone, Joseph M. et al. | 2005
- 410
-
Perfluoropolyethers as novel materials for soft lithography [5751-44]Rolland, J. P. / Hagberg, E. C. / Carter, K. R. / DeSimone, J. M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 415
-
Pattern fidelity in nanoimprinted films using CD-SAXS [5751-46]Jones, R. L. / Soles, C. L. / Lin, E. K. / Hu, W. / Reano, R. M. / Pang, S. W. / Weigand, S. J. / Keane, D. T. / Quintana, J. P. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 415
-
Pattern fidelity in nanoimprinted films using CD-SAXSJones, Ronald L. / Soles, Christopher L. / Lin, Eric K. / Hu, Walter / Reano, Ronald M. / Pang, Stella W. / Weigand, Steven J. / Keane, Denis T. / Quintana, John P. et al. | 2005
- 423
-
EUV mask blank activities at LETI: defect detection at 80 nmHue, J. / Quesnel, E. / Muffato, V. / Vabre, M. / Favier, S. et al. | 2005
- 423
-
EUV mask blank activities at LETI: defect detection at 80 nm [5751-47]Hue, J. / Quesnel, E. / Muffato, V. / Vabre, M. / Favier, S. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 435
-
Comparison of EUV mask architectures by process window analysis [5751-49]Schwarzl, S. / Kamm, F.-M. / Hirscher, S. / Lowack, K. / Domke, W.-D. / Bender, M. / Wurm, S. / Pawloski, A. R. / La Fontaine, B. / Holfeld, C. et al. | 2005
- 435
-
Comparison of EUV mask architectures by process window analysisSchwarzl, Siegfried / Kamm, Frank-Michael / Hirscher, Stefan / Lowack, Klaus / Domke, Wolf-Dieter / Bender, Markus / Wurm, Stefan / Pawloski, Adam R. / La Fontaine, Bruno / Holfeld, Christian et al. | 2005
- 446
-
Approximation of three dimensional mask effects with two dimensional featuresBai, Min / Melvin, Lawrence S. / Yan, Qiliang / Shiely, James P. / Falch, Bradley J. / Fu, Chong-Cheng / Wang, Ruoping et al. | 2005
- 446
-
Approximation of three dimensional mask effects with two dimensional features [5751-50]Bai, M. / Melvin, L. S. / Yan, Q. / Shiely, J. P. / Falch, B. J. / Fu, C.-C. / Wang, R. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 455
-
A 3D substrate and buried defect simulator for EUV mask blanks [5751-51]Lam, M. C. / Neureuther, A. R. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 455
-
A 3D substrate and buried defect simulator for EUV mask blanksLam, Michael C. / Neureuther, Andrew R. et al. | 2005
- 466
-
Simulation analysis of defect repair methods for EUVL Mo/Si multilayer mask blanksHashimoto, Takeo / Nishiyama, Iwao et al. | 2005
- 466
-
Simulation analysis of defect repair methods for EUVL Mo/Si multilayer mask blanks [5751-52]Hashimoto, T. / Nishiyama, I. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 477
-
Nikon EPL tool: the latest development status and resultsMiura, Takaharu / Kawata, Shintaro / Hada, Kazunari / Kakizaki, Yukio / Miyazaki, Masaya / Suzuki, Kazuaki / Hirayanagi, Noriyuki / Yamada, Atsushi / Ikeda, Junji / Yahiro, Takehisa et al. | 2005
- 477
-
Nikon EPL tool: the latest development status and results [5751-53]Miura, T. / Kawata, S. / Hada, K. / Kakizaki, Y. / Miyazaki, M. / Suzuki, K. / Hirayanagi, N. / Yamada, A. / Ikeda, J. / Yahiro, T. et al. | 2005
- 483
-
The improvement of the overlay accuracy using the reticle distortion correction for EPL technologies [5751-54]Koike, K. / Sakaue, H. / Arimoto, H. / Tamura, A. / Susa, T. / Ito, K. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 483
-
The improvement of the overlay accuracy using the reticle distortion correction for EPL technologiesKoike, Kaoru / Sakaue, Hiroshi / Arimoto, Hiroshi / Tamura, Akira / Susa, Takashi / Ito, Kojiro et al. | 2005
- 491
-
NGL data conversion system [5751-82]Shoji, M. / Horiuchi, N. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 491
-
NGL data conversion systemShoji, Masahiro / Horiuchi, Nobuyasu et al. | 2005
- 501
-
EPL performance in 65-nm node metallization technology and beyondKoba, F. / Tsuchida, T. / Sakaue, H. / Koike, K. / Yamamoto, J. / Iriki, N. / Yamashita, H. / Kageyama, S. / Nasuno, T. / Soda, E. et al. | 2005
- 501
-
EPL performance in 65-nm node metallization technology and beyond [5751-56]Koba, F. / Tsuchida, T. / Sakaue, H. / Koike, K. / Yamamoto, J. / Iriki, N. / Yamashita, H. / Kageyama, S. / Nasuno, T. / Soda, E. et al. | 2005
- 509
-
Preliminary study on systematic optimization of EPL mask infrastructureIriki, Nobuyuki / Yamamoto, Jiro / Arimoto, Hiroshi et al. | 2005
- 509
-
Preliminary study on systematic optimization of EPL mask infrastructure [5751-57]Iriki, N. / Yamamoto, J. / Arimoto, H. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 518
-
Resist sensitivity and thickness-based beam count optimization for parallel low energy E-beam exposure systems [5751-58]Beauvais, J. / Lavallee, E. / Drouin, D. / Zanzal, A. / Kelkar, P. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 518
-
Resist sensitivity and thickness-based beam count optimization for parallel low energy E-beam exposure systemsBeauvais, Jacques / Lavallee, Eric / Drouin, Dominique / Zanzal, Andrew / Kelkar, Prasad et al. | 2005
- 527
-
BEOL process technology based on proximity electron lithography: demonstration of the via-chain yield comparable with ArF lithographyNohdo, S. / Omori, S. / Iwase, K. / Yoshizawa, M. / Motohashi, T. / Oguni, K. / Nakayama, K. / Egawa, H. / Takeda, T. / Morikawa, T. et al. | 2005
- 527
-
BEOL process technology based on proximity electron lithography: demonstration of the via-chain yield comparable with ArF lithography [5751-59]Nohdo, S. / Omori, S. / Iwase, K. / Yoshizawa, M. / Motohashi, T. / Oguni, K. / Nakayama, K. / Egawa, H. / Takeda, T. / Morikawa, T. et al. | 2005
- 538
-
Optimal zone plates for x-ray lithography [5751-60]Zheng, R. / Jiang, L. / Feldman, M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 538
-
Optimal zone plates for x-ray lithographyZheng, R. / Jiang, L. / Feldman, M. et al. | 2005
- 548
-
Ion beam imprinting systemChen, Ye / Ji, Lili / van den Akker, Bret P. / Ji, Qing / Leung, Ka-Ngo / Siekhaus, Wigbert J. et al. | 2005
- 548
-
Ion beam imprinting system [5751-62]Chen, Y. / Ji, L. / van den Akker, B. P. / Ji, Q. / Leung, K.-N. / Siekhaus, W. J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 556
-
Plasma pinch EUV source with particle injectionMcGeoch, Malcolm W. et al. | 2005
- 556
-
Plasma pinch EUV source with particle injection [5751-63]McGeoch, M. W. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 563
-
Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources [5751-64]Takenoshita, K. / Koay, C.-S. / Teerawattansook, S. / Richardson, M. / Bakshi, V. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 563
-
Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sourcesTakenoshita, Kazutoshi / Koay, Chiew-Seng / Teerawattansook, Somsak / Richardson, Martin / Bakshi, Vivek et al. | 2005
- 572
-
The effect of debris on collector optics, its mitigation and repair: next-step a gaseous Sn EUV DPP sourceJurczyk, Brian E. / Alman, Darren A. / Antonsen, Erik L. / Jaworski, Michael A. / Williams, Michael J. / Ruzic, David N. / Spila, Tim P. / Edwards, Ginger / Wurm, Stefan / Wood, Obert R. et al. | 2005
- 572
-
The effect of debris on collector optics, its mitigation and repair: next-step a gaseous Sn EUV DPP source [5751-65]Jurczyk, B. E. / Alman, D. A. / Antonsen, E. L. / Jaworski, M. A. / Williams, M. J. / Ruzic, D. N. / Spila, T. / Edwards, G. / Wurm, S. / Wood, O. et al. | 2005
- 578
-
Properties of EUV and particle generations from laser-irradiated solid- and low-density tin targets [5751-67]Fujioka, S. / Nishimura, H. / Okuno, T. / Tao, Y. / Ueda, N. / Ando, T. / Kurayama, H. / Yasuda, Y. / Uchida, S. / Shimada, Y. et al. | 2005
- 578
-
Properties of EUV and particle generations from laser-irradiated solid- and low-density tin targetsFujioka, Shinsuke / Nishimura, Hiroaki / Okuno, Tomoharu / Tao, Yezheng / Ueda, Nobuyoshi / Ando, Tsuyoshi / Kurayama, Hiroto / Yasuda, Yuzuri / Uchida, Shigeaki / Shimada, Yoshinori et al. | 2005
- 588
-
Radiation-hydrodynamics, spectral, and atomic physics modeling of laser-produced plasma EUVL light sources [5751-68]MacFarlane, J. J. / Rettig, C. L. / Wang, P. / Golovkin, I. E. / Woodruff, P. R. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 588
-
Radiation-hydrodynamics, spectral, and atomic physics modeling of laser-produced plasma EUVL light sourcesMacFarlane, J. J. / Rettig, C. L. / Wang, P. / Golovkin, I. E. / Woodruff, P. R. et al. | 2005
- 601
-
Process control of photoresist undercut for lift-off patterns below 100 nmChen, Chao-Peng / Chang, Jei-Wei / Kaji, Rina / Kawasaki, Hromichi et al. | 2005
- 601
-
Process control of photoresist undercut for lift-off patterns below 100 nm [5751-69]Chen, C.-P. / Chang, J.-W. / Kaji, R. / Kawasaki, H. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 609
-
E-beam direct-write lithography for the 45-nm node using the novel STEAG HamaTech single substrate coat-bake-develop ModuTrack [5751-71]Berger, L. / Dieckmann, W. / Krauss, C. / Dress, P. / Waldorf, J. / Cheng, C. Y. / Wei, S. L. / Chen, W. S. / Kao, M. J. / Tsai, M. J. et al. | 2005
- 609
-
E-beam direct-write lithography for the 45nm node using the novel STEAG HamaTech single substrate coat-bake-develop ModuTrackBerger, L. / Dieckmann, W. / Krauss, C. / Dress, P. / Waldorf, J. / Cheng, C. Y. / Wei, S. L. / Chen, W. S. / Kao, M. J. / Tsai, M. J. et al. | 2005
- 619
-
Vibration non-sensitive lithographic system for writing individualized holograms for data storage and security applications [5751-73]Thomann, R. C. / Gerspach, M. / Noehte, S. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 619
-
Vibration non-sensitive lithographic system for writing individualized holograms for data storage and security applicationsThomann, Robert C. / Gerspach, Matthias / Noehte, Steffen et al. | 2005
- 629
-
Modeling of the influence of the defect position on the reflected intensity in EUV maskBesacier, Maxime / Schiavone, Patrick / Farys, Vincent / Smaali, Rafik et al. | 2005
- 629
-
Modeling of the influence of the defect position on the reflected intensity in EUV mask [5751-74]Besacier, M. / Schiavone, P. / Farys, V. / Smaali, R. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 640
-
Characterization of electrostatically chucked EUVL mask blanksLigman, Rebekah K. / Shu, Emily Y. / Yan, Pei-yang et al. | 2005
- 640
-
Characterization of electrostatically chucked EUVL mask blanks [5751-76]Ligman, R. K. / Shu, E. Y. / Yan, P. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 651
-
Actinic inspection of multilayer defects on EUV masksBarty, Anton / Liu, Yanwei / Gullikson, Eric / Taylor, John S. / Wood, Obert et al. | 2005
- 651
-
Actinic inspection of multilayer defects on EUV masks [5751-78]Barty, A. / Liu, Y. / Gullikson, E. / Taylor, J. S. / Wood, O. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 660
-
A dual-mode actinic EUV mask inspection tool [5751-79]Liu, Y. / Barty, A. / Gullikson, E. / Taylor, J. S. / Liddle, J. A. / Wood, O. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 660
-
A dual-mode actinic EUV mask inspection toolLiu, Yanwei / Barty, Anton / Gullikson, Eric / Taylor, John S. / Liddle, J. A. / Wood, Obert et al. | 2005
- 670
-
Line width variation with absorber thickness in extreme ultraviolet lithography [5751-80]Jeon, Y.-D. / Choi, M.-K. / Kim, E.-J. / Kim, J.-S. / Oh, H.-K. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 670
-
Line width variation with absorber thickness in extreme ultraviolet lithographyJeon, Young-Doo / Choi, Min-Ki / Kim, Eun-Jin / Kim, Jong-Sun / Oh, Hye-Keun et al. | 2005
- 678
-
Defect printability and defect inspection simulations of patterned EUVL mask using rigorous coupled-wave analysis [5751-81]Kim, S.-S. / Chalyck, R. / Woo, S.-G. / Cho, H.-K. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 678
-
Defect printability and defect inspection simulations of patterned EUVL mask using rigorous coupled-wave analysisKim, Seong-Sue / Chalyck, Roman / Woo, Sang-Gyun / Cho, Han-Ku et al. | 2005
- 687
-
Exploring the fundamental limit of CD control: a model for shot noise in lithography [5751-150]Yu, M. L. / Sagle, A. / Buller, B. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 687
-
Exploring the fundamental limit of CD control: a model for shot noise in lithographyYu, Ming L. / Sagle, Allan / Buller, Benny et al. | 2005
- 699
-
Device based evaluation of electron projection lithographyRomeo, Carmelo / Cantu, Pietro / Henry, Daniel / Takekoshi, Hidekazu / Hirayanagi, Noriyuki / Suzuki, Kazuaki / McCallum, Martin / Fujita, Hiroshi / Takikawa, Tadahiko / Hoga, Morihisa et al. | 2005
- 699
-
Device based evaluation of electron projection lithography [5751-55]Romeo, C. / Cantu, P. / Henry, D. / Takekoshi, H. / Hirayanagi, N. / Suzuki, K. / McCallum, M. / Fujita, H. / Takikawa, T. / Hoga, M. et al. | 2005
- 707
-
Flare and lens aberration requirements for EUV lithographic tools [5751-34]Lee, S. H. / Shroff, Y. / Chandhok, M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 707
-
Flare and lens aberration requirements for EUV lithographic toolsLee, Sang Hun / Shroff, Yashesh / Chandhok, Manish et al. | 2005
- 715
-
Applications of an electron-based EUV source: table-top grazing incidence reflectometer and imaging with a Schwarzschild objectiveHinze, U. / Egbert, A. / Chichkov, B. et al. | 2005
- 715
-
Applications of an electron-based EUV source: table-top grazing incidence reflectometer and imaging with a Schwarzschild objective [5751-83]Hinze, U. / Egbert, A. / Chichkov, B. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 721
-
Influence of asymmetry of diffracted light on printability in EUV lithographySugawara, Minoru / Nishiyama, Iwao / Takai, Mikio et al. | 2005
- 721
-
Influence of asymmetry of diffracted light on printability in EUV lithography [5751-84]Sugawara, M. / Nishiyama, I. / Takai, M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 733
-
Evaluation of pattern fidelity in EUVL using a high-numerical-aperture small-field EUV exposure tool (HiNA) [5751-85]Tanaka, Y. / Oizumi, H. / Hashimoto, T. / Kumasaka, F. / Nishiyama, I. / Abe, T. / Mohri, H. / Hayashi, N. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 733
-
Evaluation of pattern fidelity in EUVL using a high-numerical-aperture small-field EUV exposure tool (HiNA)Tanaka, Yuusuke / Oizumi, Hiroaki / Hashimoto, Takeo / Kumasaka, Fumiaki / Nishiyama, Iwao / Abe, Tsukasa / Mohri, Hiroshi / Hayashi, Naoya et al. | 2005
- 741
-
Resist-based measurement of the contrast transfer function in a 0.3-NA EUV microfield optic [5751-86]Cain, J. P. / Naulleau, P. / Spanos, C. J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 741
-
Resist-based measurement of the contrast transfer function in a 0.3-NA EUV microfield opticCain, Jason P. / Naulleau, Patrick / Spanos, Costas J. et al. | 2005
- 749
-
Status of EUV reflectometry at PTB [5751-88]Scholze, F. / Laubis, C. / Buchholz, C. / Fischer, A. / Ploger, S. / Scholz, F. / Wagner, H. / Ulm, G. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 749
-
Status of EUV reflectometry at PTBScholze, Frank / Laubis, Christian / Buchholz, Christian / Fischer, Andreas / Ploeger, Sven / Scholz, Frank / Wagner, Heike / Ulm, Gerhard et al. | 2005
- 759
-
Laser and optical developments of a modular laser-plasma source for EUV lithography [5751-89]Cheymo, G. / Cormont, P. / Farcage, D. / Montmerle-Bonnefois, A. / Thro, P.-Y. / Weulersse, J.-M. / Schmidt, M. / Sublemontier, O. / Barthod, B. / Gaurand, I. et al. | 2005
- 759
-
Laser and optical developments of a modular laser-plasma source for EUV lithographyCheymol, G. / Cormont, Ph. / Farcage, D. / Montmerle-Bonnefois, A. / Thro, P.-Y. / Weulersse, J.-M. / Schmidt, M. / Sublemontier, O. / Barthod, B. / Gaurand, I. et al. | 2005
- 769
-
Radiation transport modeling for Xe and Sn-doped droplet laser-plasma sourcesAl-Rabban, Moza / Keyser, Christian / George, Simi / Scott, Howard / Bakshi, Vivek / Richardson, Martin et al. | 2005
- 769
-
Radiation transport modeling for Xe and Sn-doped droplet laser-plasma sources [5751-90]Al-Rabban, M. / Keyser, C. / George, S. / Scott, H. / Bakshi, V. / Richardson, M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 779
-
EUV spectroscopy of mass-limited Sn-doped laser micro-plasmas [5751-92]George, S. / Koay, C.-S. / Takenoshita, K. / Bernath, R. / Al-Rabban, M. / Keyser, C. / Bakshi, V. / Scott, H. / Richardson, M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 779
-
EUV spectroscopy of mass-limited Sn-doped laser micro-plasmasGeorge, Simi / Koay, Chiew-Seng / Takenoshita, Kazutoshi / Bernath, Robert / Al-Rabban, Moza / Keyser, Christian / Bakshi, Vivek / Scott, Howard / Richardson, Martin et al. | 2005
- 789
-
Estimations on high energy ions and neutral particles from LPP EUV light sourcesFurukawa, H. / Kawamura, T. / Nishikawa, T. / Sasaki, A. / Fujima, K. / Fujioka, S. / Nishimura, H. / Nishihara, K. / Miyanaga, N. / Izawa, Y. et al. | 2005
- 789
-
Estimations on high energy ions and neutral particles from LPP EUV light sources [5751-93]Furukawa, H. / Kawamura, T. / Nishikawa, T. / Sasaki, A. / Fujima, K. / Fujioka, S. / Nishimura, H. / Nishihara, K. / Miyanaga, N. / Izawa, Y. et al. | 2005
- 798
-
Dynamics of a laser produced plasma for soft x-ray production [5751-94]Spencer, J. B. / Alman, D. A. / Ruzic, D. N. / Jurczyk, B. E. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 798
-
Dynamics of a laser produced plasma for soft x-ray productionSpencer, Joshua B. / Alman, Darren A. / Ruzic, David N. / Jurczyk, Brian E. et al. | 2005
- 808
-
Ultrashort electron-based EUV and hard x-ray sourceHinze, Ulf / Chichkov, Boris et al. | 2005
- 808
-
Ultrashort electron-based EUV and hard x-ray source [5751-95]Hinze, U. / Chichkov, B. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 815
-
Evaluation of tin-foil targets for debris mitigation in laser generated EUV sourceYamaura, Michiteru / Uchida, Shigeaki / Takemoto, Susumu / Shimada, Yoshinori / Nishimura, Hiroaki / Fujioka, Shinsuke / Nagai, Keiji / Norimatsu, Takayoshi / Nishihara, Katsunobu / Miyanaga, Noriaki et al. | 2005
- 815
-
Evaluation of tin-foil targets for debris mitigation in laser generated EUV source [5751-98]Yamaura, M. / Uchida, S. / Takemoto, S. / Shimada, Y. / Nishimura, H. / Fujioka, S. / Nagai, K. / Norimatsu, T. / Nishihara, K. / Miyanaga, N. et al. | 2005
- 822
-
Laser-produced-plasma light source for EUV lithographySoumagne, Georg / Abe, Tamotsu / Suganuma, Takashi / Imai, Yousuke / Someya, Hiroshi / Hoshino, Hideo / Nakano, Masaki / Komori, Hiroshi / Takabayashi, Yuichi / Ariga, Tatsuya et al. | 2005
- 822
-
Laser-produced-plasma light source for EUV lithography [5751-99]Soumagne, G. / Abe, T. / Suganuma, T. / Imai, Y. / Someya, H. / Hoshino, H. / Nakano, M. / Komori, H. / Takabayashi, Y. / Ariga, T. et al. | 2005
- 829
-
Development status of gas discharge produced plasma Z-pinch EUV sources for use in beta-tools and high volume chip manufacturing tools [5751-101]Stamm, U. / Kleinschmidt, J. / Gabel, K. / Hergenhan, G. / Ziener, C. / Ahmad, I. / Bolshukhin, D. / Korobotchko, V. / Keller, A. / Geier, A. et al. | 2005
- 829
-
Development status of gas discharge produced plasma Z-pinch EUV sources for use in beta-tools and high volume chip manufacturing toolsStamm, U. / Kleinschmidt, J. / Gabel, K. / Hergenhan, G. / Ziener, C. / Ahmad, I. / Bolshukhin, D. / Korobotchko, V. / Keller, A. / Geier, A. et al. | 2005
- 840
-
Development of laser deposition package for modeling of EUV sources for microlithographyMakhin, Volodymyr / Bauer, Bruno S. / Lindemuth, Irvin R. / Rice, Bryan J. et al. | 2005
- 840
-
Development of laser deposition package for modeling of EUV sources for microlithography [5751-103]Makhin, V. / Bauer, B. S. / Lindemuth, I. R. / Rice, B. J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 852
-
Secondary RF plasma system for mitigation of EUV source debris and advanced fuelsJaworski, Michael A. / Williams, Michael J. / Antonsen, Erik L. / Jurczyk, Brian E. / Ruzic, David N. / Bristol, Robert et al. | 2005
- 852
-
Secondary RF plasma system for mitigation of EUV source debris and advanced fuels [5751-104]Jaworski, M. A. / Williams, M. J. / Antonsen, E. L. / Jurczyk, B. E. / Ruzic, D. N. / Bristol, R. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 859
-
Magnetic field ion mitigation for EUV light sourcesKomori, Hiroshi / Imai, Yousuke / Soumagne, Georg / Abe, Tamotsu / Suganuma, Takashi / Endo, Akira et al. | 2005
- 859
-
Magnetic field ion mitigation for EUV light sources [5751-105]Komori, H. / Imai, Y. / Soumagne, G. / Abe, T. / Suganuma, T. / Endo, A. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 867
-
Target fabrication of low-density and nanoporous tin oxide as laser targets to generate extreme ultravioletNagai, Keiji / Gu, Q-c. / Norimatsu, T. / Nishimura, H. / Fujioka, S. / Tao, Y-z. / Okuno, T. / Nishihara, K. / Miyanaga, N. / Izawa, Y. et al. | 2005
- 867
-
Target fabrication of low-density and nanoporous tin oxide as laser targets to generate extreme ultraviolet [5751-106]Nagai, K. / Gu, Q. / Norimatsu, T. / Nishimura, H. / Fujioka, S. / Tao, Y. / Okuno, T. / Nishihara, K. / Miyanaga, N. / Izawa, Y. et al. | 2005
- 874
-
Development in gas-discharge drive lasers for LPP EUV sourcesFleurov, V. B. / Oh, P. C. / Steiger, T. D. / Fomenkov, I. F. / Partlo, W. N. et al. | 2005
- 874
-
Development in gas-discharge drive lasers for LPP EUV sources [5751-107]Fleurov, V. B. / Oh, P. C. / Steiger, T. D. / Fomenkov, I. V. / Partlo, W. N. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 885
-
Liquid metal micro-droplet generator for laser produced plasma target delivery used in an extreme ultra-violet source [5751-108]Algots, J. M. / Hemberg, O. / Bykanov, A. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 885
-
Liquid metal micro-droplet generator for laser produced plasma target delivery used in an extreme ultra-violet sourceAlgots, J. M. / Hemberg, Oscar / Bykanov, Alexander et al. | 2005
- 892
-
LPP EUV conversion efficiency optimizationHoffman, J. R. / Bykanov, A. N. / Khodykin, O. V. / Ershov, A. I. / Fomenkov, I. V. / Partlo, W. N. / Myers, D. W. et al. | 2005
- 892
-
LPP EUV conversion efficiency optimization [5751-109]Hoffman, J. R. / Bykanov, A. N. / Khodykin, O. V. / Ershov, A. I. / Bowering, N. R. / Fomenkov, I. V. / Partlo, W. N. / Myers, D. W. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 902
-
Model of neutralization of highly ionized Xe generated by laser-produced plasmaNishiyama, Iwao / Komori, Hiroshi / Soumagne, Georg et al. | 2005
- 902
-
Model of neutralization of highly ionized Xe generated by laser-produced plasma [5751-110]Nishiyama, I. / Komori, H. / Soumagne, G. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 910
-
Protection of collector optics in an LPP based EUV sourceRettig, C. L. / Khodykin, O. V. / Hoffman, J. R. / Marx, W. F. / Bowering, N. R. / Vargas, E. / Ershov, A. I. / Fomenkov, I. V. / Partlo, W. N. et al. | 2005
- 910
-
Protection of collector optics in an LPP based EUV source [5751-112]Rettig, C. L. / Khodykin, O. V. / Hoffman, J. R. / Marx, W. F. / Bowering, N. R. / Vargas, E. / Ershov, A. I. / Fomenkov, I. V. / Partlo, W. N. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 919
-
13.5 nm emission from composite targets containing tin [5751-113]Hayden, P. / Cummings, A. / Gaynor, L. / Murphy, N. / O Sullivan, G. / Sheridan, P. / Sokell, E. / White, J. / Dunne, P. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 919
-
13.5 nm emission from composite targets containing tinHayden, Patrick / Cummings, Anthony / Gaynor, Lynn / Murphy, Nicola / O'Sullivan, Gerard / Sheridan, Paul / Sokell, Emma / White, John / Dunne, Padraig et al. | 2005
- 927
-
High-power and high-repetition-rate EUV source based on Xe discharge-produced plasma [5751-114]Teramoto, Y. / Sato, H. / Bessho, K. / Niimi, G. / Shirai, T. / Yamatani, D. / Takemura, T. / Yokota, T. / Paul, K. C. / Kabuki, K. et al. | 2005
- 927
-
High-power and high-repetition-rate EUV source based on Xe discharge-produced plasmaTeramoto, Yusuke / Sato, Hiroto / Bessho, Kazunori / Niimi, Gohta / Shirai, Takahiro / Yamatani, Daiki / Takemura, Tetsu / Yokota, Toshio / Paul, Khokan C. / Kabuki, Kiyoyuki et al. | 2005
- 935
-
Modeling of the atomic processes in the laser-plasma EUV sourcesSasaki, A. / Nishihara, K. / Koike, F. / Kagawa, K. / Tanuma, H. / Sunahara, A. / Gamada, K. / Nishikawa, T. et al. | 2005
- 935
-
Modeling of the atomic processes in the laser-plasma EUV sources [5751-115]Sasaki, A. / Nishihara, K. / Koike, F. / Kagawa, K. / Tanuma, H. / Sunahara, A. / Gamada, K. / Nishikawa, T. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 943
-
Debris mitigation and cleaning strategies for Sn-based sources for EUV lithography [5751-153]Klunder, D. J. W. / van Herpen, M. M. J. W. / Banine, V. Y. / Gielissen, K. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 943
-
Debris mitigation and cleaning strategies for Sn-based sources for EUV lithographyKlunder, D. J. W. / van Herpen, M. M. J. W. / Banine, V. Y. / Gielissen, K. et al. | 2005
- 952
-
Surface engineering for resolution enhancement in nanoimprint lithographyJung, G. Y. / Wu, W. / Li, Z. / Wang, S. Y. / Tong, William M. / Williams, R. S. et al. | 2005
- 952
-
Surface engineering for resolution enhancement in nanoimprint lithography [5751-117]Jung, G. Y. / Wu, W. / Li, Z. / Wang, S. Y. / Tong, W. M. / Williams, R. S. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 957
-
Alignment issues in a modular hot embossing system [5751-118]Wissen, M. / Glinsner, T. / Bogdanski, N. / Scheer, H.-C. / Gruetzner, G. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 957
-
Alignment issues in a modular hot embossing systemWissen, M. / Glinsner, T. / Bogdanski, N. / Scheer, H.-C. / Gruetzner, G. et al. | 2005
- 964
-
S-FIL technology: cost of ownership case study [5751-120]Murthy, S. / Falcon, M. / Sreenivasan, S. V. / Dance, D. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 964
-
S-FIL technology: cost of ownership case studyMurthy, Sunil / Falcon, Michael / Sreenivasan, S. V. / Dance, Daren et al. | 2005
- 976
-
Development of thermosets for thermal nanoimprint lithography at decreased temperatures [5751-121]Reuther, F. / Kubenz, M. / Schuster, C. / Fink, M. / Vogler, M. / Gruetzner, G. / Grimm, J. / Kaeppel, A. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 976
-
Development of thermosets for thermal nanoimprint lithography at decreased temperaturesReuther, Freimut / Kubenz, Mike / Schuster, Christine / Fink, Marion / Vogler, Marko / Gruetzner, Gabi / Grimm, Juergen / Kaeppel, Andi et al. | 2005
- 986
-
Indium tin oxide template development for step and flash imprint lithographyGehoski, Kathleen A. / Resnick, Douglas J. / Dauksher, William J. / Nordquist, Kevin J. / Ainley, Eric / McCord, Mark / Raphaelian, Mark / Hess, Harald et al. | 2005
- 986
-
Indium tin oxide template development for step and flash imprint lithography [5751-122]Gehoski, K. A. / Resnick, D. J. / Dauksher, W. J. / Nordquist, K. J. / Ainley, E. / McCord, M. / Raphaelian, M. / Hess, H. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 994
-
Fabrication of nanometer sized features on non-flat substrates using a nano-imprint lithography processMiller, Mike / Doyle, Gary / Stacey, Nick / Xu, Frank / Sreenivasan, S. V. / Watts, Mike / LaBrake, Dwayne L. et al. | 2005
- 994
-
Fabrication of nanometer sized features on non-flat substrates using a nano-imprint lithography process [5751-123]Miller, M. / Doyle, G. / Stacey, N. / Xu, F. / Sreenivasan, S. V. / Watts, M. / LaBrake, D. L. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1003
-
Maskless origination of microstructures with optical functions on large areas [5751-124]Mick, J. / Gombert, A. / Blasi, B. / Muller, C. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1003
-
Maskless origination of microstructures with optical functions on large areasMick, Jorg / Gombert, Andreas / Blasi, Benedikt / Muller, Claas et al. | 2005
- 1015
-
Study of EB-tree [5751-125]Tawarayama, K. / Magoshi, S. / Sato, S. / Hatano, M. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1015
-
Study of EB-treeTawarayama, Kazuo / Magoshi, Shunko / Sato, Shinji / Hatano, Masayuki et al. | 2005
- 1023
-
Design and fabrication of tilting and piston micromirrors for maskless lithography [5751-126]Chen, Y. / Chu, C. H. / Shroff, Y. / Wang, J.-S. / Oldham, W. G. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1023
-
Design and fabrication of tilting and piston micromirrors for maskless lithographyChen, Yijian / Chu, Chi Hui / Shroff, Yashesh / Wang, Jen-Shiang / Oldham, William G. et al. | 2005
- 1038
-
Optical rasterization algorithms for contrast devices utilizing different physical modulation principles in optical maskless lithographyLatypov, Azat / Albright, Ronald / BabaAli, Nabila / Cebuhar, Wenceslao A. / Hintersteiner, Jason D. / Stone, Elizabeth et al. | 2005
- 1038
-
Optical rasterization algorithms for contrast devices utilizing different physical modulation principles in optical maskless lithography [5751-127]Latypov, A. / Albright, R. / BabaAli, N. / Cebuhar, W. A. / Hintersteiner, J. D. / Stone, E. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1050
-
Maskless micromachining with high-energy focused ion beams [5751-128]Rout, B. / Greco, R. D. / Dymnikov, A. D. / Reinhardt, J. R. / Peeples, J. / Kamal, M. / Lentz, M. / Glass, G. A. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1050
-
Maskless micromachining with high-energy focused ion beamsRout, B. / Greco, R. D. / Dymnikov, A. D. / Reinhardt, J. R. / Peeples, J. / Kamal, M. / Lentz, M. / Glass, G. A. et al. | 2005
- 1058
-
High-throughput hybrid optical maskless lithography: all-optical 32-nm node imaging [5751-149]Fritze, M. / Tyrrell, B. / Fedynyshyn, T. / Rothschild, M. / Brooker, P. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1058
-
High-throughput hybrid optical maskless lithography: all-optical 32-nm node imagingFritze, M. / Tyrrell, B. / Fedynyshyn, T. / Rothschild, M. / Brooker, P. et al. | 2005
- 1069
-
High-precision optical heterodyne interferometric dilatometer for determining absolute CTE of EUVL materials [5751-129]Takeichi, Y. / Nishiyama, I. / Yamada, N. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1069
-
High-precision optical heterodyne interferometric dilatometer for determining absolute CTE of EUVL materialsTakeichi, Yoshimasa / Nishiyama, Iwao / Yamada, Naofumi et al. | 2005
- 1077
-
Reflectance change of Si- and Ru-capped Mo/Si multilayer mirrors caused by intense EUV irradiationKakutani, Yukinobu / Niibe, Masahito / Takase, Hiromitsu / Terashima, Shigeru / Kondo, Hiroyuki / Matsunari, Shuichi / Aoki, Takashi / Gomei, Yoshio / Fukuda, Yasuaki et al. | 2005
- 1077
-
Reflectance change of Si- and Ru-capped Mo/Si multilayer mirrors caused by intense EUV irradiation [5751-130]Kakutani, Y. / Niibe, M. / Takase, H. / Terashima, S. / Kondo, H. / Matsunari, S. / Aoki, T. / Gomei, Y. / Fukuda, Y. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1084
-
Characterization of capped multilayer mirrors using XPS, AES, and SIMSTakase, Hiromitsu / Gomei, Yoshio / Terashima, Shigeru / Kondo, Hiroyuki / Aoki, Takashi / Matsunari, Shuichi / Niibe, Masahito / Kakutani, Yukinobu et al. | 2005
- 1084
-
Characterization of capped multilayer mirrors using XPS, AES, and SIMS [5751-132]Takase, H. / Gomei, Y. / Terashima, S. / Kondo, H. / Aoki, T. / Matsunari, S. / Niibe, M. / Kakutani, Y. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1092
-
Critical dimension sensitivity to post-exposure bake temperature variations in EUV photoresistsCain, Jason P. / Naulleau, Patrick / Spanos, Costas J. et al. | 2005
- 1092
-
Critical dimension sensitivity to post-exposure bake temperature variations in EUV photoresists [5751-134]Cain, J. P. / Naulleau, P. / Spanos, C. J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1101
-
Modeling of EUV photoresists with a resist point spread functionCain, Jason P. / Naulleau, Patrick / Spanos, Costas J. et al. | 2005
- 1101
-
Modeling of EUV photoresists with a resist point spread function [5751-135]Cain, J. P. / Naulleau, P. / Spanos, C. J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1110
-
Erosion and degradation of EUV lithography collector mirrors under particle bombardmentAllain, Jean P. / Hassanein, Ahmed / Nieto, Martin / Titov, Vladimir / Plotkin, Perry / Hinson, Edward / Rice, Bryan J. / Bristol, Robert / Rokusek, Daniel / Lytle, Wayne et al. | 2005
- 1110
-
Erosion and degradation of EUV lithography collector mirrors under particle bombardment [5751-136]Allain, J. P. / Hassanein, A. / Nieto, M. / Titov, V. / Plotkin, P. / Hinson, E. / Rice, B. J. / Bristol, R. / Rokusek, D. / Lytle, W. et al. | 2005
- 1118
-
UIUC collector erosion and optical lifetime project results: time dependent exposures [5751-137]Alman, D. A. / Qiu, H. / Thompson, K. C. / Antonsen, E. L. / Spencer, J. B. / Hendricks, M. R. / Jurczyk, B. E. / Ruzic, D. N. / Spila, T. / Edwards, G. et al. | 2005
- 1118
-
UIUC collector erosion and optical lifetime project results: time dependent exposuresAlman, Darren A. / Qiu, Huatan / Thompson, Keith C. / Antonsen, Erik L. / Spencer, Joshua B. / Hendricks, Matthew R. / Jurczyk, Brian E. / Ruzic, David N. / Spila, Timothy / Edwards, Ginger et al. | 2005
- 1125
-
Evaluating advanced fuel candidates in surface cleaning of optics by plasma exposure (SCOPE)Neumann, M. J. / Shin, H. / Qiu, H. / Ritz, E. / DeFrees, R. A. / Hendricks, M. R. / Alman, D. A. / Jurczyk, B. E. / Ruzic, D. N. / Bristol, R. et al. | 2005
- 1125
-
Evaluating advanced fuel candidates in surface cleaning of optics by plasma exposure (SCOPE) [5751-138]Neumann, M. J. / Shin, H. / Qiu, H. / Ritz, E. / DeFrees, R. A. / Hendricks, M. R. / Alman, D. A. / Jurczyk, B. E. / Ruzic, D. N. / Bristol, R. et al. | 2005
- 1137
-
Apparatus for contamination control development in EUVA [5751-139]Aoki, T. / Kondo, H. / Matsunari, S. / Takase, H. / Gomei, Y. / Terashima, S. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1137
-
Apparatus for contamination control development in EUVAAoki, Takashi / Kondo, Hiroyuki / Matsunari, Shuichi / Takase, Hiromitsu / Gomei, Yoshio / Terashima, Shigeru et al. | 2005
- 1147
-
Contamination removal from EUV multilayer using atomic hydrogen generated by heated catalyzerOizumi, H. / Yamanashi, H. / Nishiyama, I. / Hashimoto, K. / Ohsono, S. / Masuda, A. / Izumi, A. / Matsumura, H. et al. | 2005
- 1147
-
Contamination removal from EUV multilayer using atomic hydrogen generated by heated catalyzer [5751-140]Oizumi, H. / Yamanashi, H. / Nishiyama, I. / Hashimoto, K. / Ohsono, S. / Masuda, A. / Izumi, A. / Matsumura, H. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1155
-
High-temperature multilayers [5751-151]Yulin, S. / Benoit, N. / Feigl, T. / Kaiser, N. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1155
-
High-temperature multilayersYulin, Sergiy / Benoit, Nicolas / Feigl, Torsten / Kaiser, Norbert et al. | 2005
- 1162
-
Characterization of prototype optical surfaces and coatings for the EUV reticle imaging microscopeGlatzel, H. / Daniel, J. / Khajehnouri, K. / Roff, T. / Sporer, S. / Wong, S. / Kriese, M. / Platonov, Y. / Rodriguez, J. et al. | 2005
- 1162
-
Characterization of prototype optical surfaces and coatings for the EUV reticle imaging microscope [5751-152]Glatzel, H. / Daniel, J. / Khajehnouri, K. / Roff, T. / Sporer, S. / Wong, S. / Kriese, M. / Platonov, Y. / Rodriguez, J. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1170
-
Multilayer coatings for the EUVL process development tool [5751-154]Louis, E. / Zoethout, E. / van de Kruijs, R. W. E. / Nedelcu, I. / Yakshin, A. E. / van der Westen, S. A. / Tsarfati, T. / Bijkerk, F. / Enkisch, H. / Mullender, S. et al. | 2005
- 1170
-
Multilayer coatings for the EUVL process development toolLouis, E. / Zoethout, E. / van de Kruijs, R. W. E. / Nedelcu, I. / Yakshin, A. E. / van der Westen, S. A. / Tsarfati, T. / Bijkerk, F. / Enkisch, H. / Muellender, S. et al. | 2005
- 1178
-
Off-synchrotron at-wavelength EUV metrologyEgbert, Andre / Becker, Stefan / Chichkov, Boris N. et al. | 2005
- 1178
-
Off-synchrotron at-wavelength EUV metrology [5751-141]Egbert, A. / Becker, S. / Chichkov, B. N. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1185
-
EUV component and system characterization at NIST for the support of extreme-ultraviolet lithography [5751-142]Grantham, S. / Hill, S. B. / Tarrio, C. / Vest, R. E. / Lucatorto, T. B. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1185
-
EUV component and system characterization at NIST for the support of extreme-ultraviolet lithographyGrantham, S. / Hill, S. B. / Tarrio, C. / Vest, R. E. / Lucatorto, T. B. et al. | 2005
- 1192
-
XCEED: XTREME commercial EUV exposure diagnostic experimentAntonsen, Erik L. / Thompson, Keith C. / Hendricks, Matthew R. / Alman, Darren A. / Jurczyk, Brian E. / Ruzic, David N. / Chinh, Tran Duc / Edwards, Ginger / Wurm, Stefan / Wood, Obert et al. | 2005
- 1192
-
XCEED: XTREME commercial EUV exposure diagnostic experiment [5751-143]Antonsen, E. L. / Thompson, K. C. / Hendricks, M. R. / Alman, D. A. / Jurczyk, B. E. / Ruzic, D. N. / Chinh, T. D. / Edwards, G. / Wurm, S. / Wood, O. et al. | 2005
- 1203
-
High-resolution residual layer thickness metrology using x-ray reflectivity [5751-144]Lee, H.-J. / Soles, C. L. / Ro, H. W. / Hines, D. R. / Jones, R. L. / Lin, E. K. / Wu, W. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1203
-
High-resolution residual layer thickness metrology using x-ray reflectivityLee, Hae-Jeong / Soles, Christopher L. / Ro, Hyun W. / Hines, Daniel R. / Jones, Ronald L. / Lin, Eric K. / Wu, Wen-li et al. | 2005
- 1211
-
Characterization of collector optic material samples before and after exposure in LPP and DPP EUV sources [5751-145]Qiu, H. / Alman, D. A. / Thompson, K. C. / Coventry, M. D. / Spencer, J. B. / Hendricks, M. R. / Antonsen, E. L. / Jurczyk, B. E. / Ruzic, D. N. / Spila, T. P. et al. | 2005
- 1211
-
Characterization of collector optic material samples before and after exposure in LPP and DPP EUV sourcesQiu, Huatan / Alman, Darren A. / Thompson, Keith C. / Coventry, Matthew D. / Spencer, Joshua B. / Hendricks, Matthew R. / Antonsen, Erik L. / Jurczyk, Brian E. / Ruzic, David N. / Spila, Tim P. et al. | 2005
- 1223
-
Modeling the defect inspection sensitivity of a confocal microscope [5751-146]Gullikson, E. M. / Tejnil, E. / Tsai, K.-Y. / Stivers, A. R. / Kusunose, H. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1223
-
Modeling the defect inspection sensitivity of a confocal microscopeGullikson, E. M. / Tejnil, E. / Tsai, K.-Y. / Stivers, A. R. / Kusunose, H. et al. | 2005
- 1230
-
Two-wave pattern shift aberration monitor for centrally obscured optical systems [5751-147]Cain, J. P. / McIntyre, G. / Naulleau, P. / Pawloski, A. / La Fontaine, B. / Wood, O. / Spanos, C. J. / Neureuther, A. R. / SPIE-- the International Society for Optical Engineering et al. | 2005
- 1230
-
Two-wave pattern shift aberration monitor for centrally obscured optical systemsCain, Jason P. / McIntyre, Gregory / Naulleau, Patrick / Pawloski, Adam / La Fontaine, Bruno / Wood, Obert / Spanos, Costas J. / Neureuther, Andrew R. et al. | 2005