High order correction and sampling strategy for 45nm immersion lithography overlay control (English)
- New search for: Hsueh, Bo Yun
- New search for: Huang, George K. C.
- New search for: Yu, Chun-Chi
- New search for: Hsu, Jerry K. C.
- New search for: Huang, Chin-Chou Kevin
- New search for: Huang, Chien-Jen
- New search for: Tien, David
- New search for: Hsueh, Bo Yun
- New search for: Huang, George K. C.
- New search for: Yu, Chun-Chi
- New search for: Hsu, Jerry K. C.
- New search for: Huang, Chin-Chou Kevin
- New search for: Huang, Chien-Jen
- New search for: Tien, David
In:
Proc. SPIE
;
6922
; 69222Q
;
2008
-
ISBN:
-
ISSN:
- Conference paper / Electronic Resource
-
Title:High order correction and sampling strategy for 45nm immersion lithography overlay control
-
Contributors:Hsueh, Bo Yun ( author ) / Huang, George K. C. ( author ) / Yu, Chun-Chi ( author ) / Hsu, Jerry K. C. ( author ) / Huang, Chin-Chou Kevin ( author ) / Huang, Chien-Jen ( author ) / Tien, David ( author )
-
Conference:Metrology, Inspection, and Process Control for Microlithography XXII ; 2008 ; San Jose,California,United States
-
Published in:Proc. SPIE ; 6922 ; 69222Q
-
Publisher:
- New search for: SPIE
-
Publication date:2008-03-24
-
ISBN:
-
ISSN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 69220A
-
Challenges of implementing contour modeling in 32nm technologyFischer, Daniel / Han, Geng / Oberschmidt, James / Cheng, Yong Wah / Maeng, Jae Yeol / Archie, Charles / Lu, Wei / Tabery, Cyrus et al. | 2008
- 69220B
-
The potentials of helium ion microscopy for semiconductor process metrologyPostek, Michael T. / Vladár, Andas E. et al. | 2008
- 69220C
-
Evaluating diffraction based overlay metrology for double patterning technologiesSaravanan, Chandra Saru / Liu, Yongdong / Dasari, Prasad / Kritsun, Oleg / Volkman, Catherine / Acheta, Alden / La Fontaine, Bruno et al. | 2008
- 69220D
-
Plasma cleaning of nanoparticles from EUV mask materials by electrostaticsLytle, W. M. / Raju, R. / Shin, H. / Das, C. / Neumann, M. J. / Ruzic, D. N. et al. | 2008
- 69220E
-
Optical through-focus technique that differentiates small changes in line width, line height, and sidewall angle for CD, overlay, and defect metrology applicationsAttota, Ravikiran / Silver, Richard / Barnes, Bryan M. et al. | 2008
- 69220F
-
Paving the way for multiple applications for the 3D-AFM technique in the semiconductor industryFoucher, J. / Pargon, E. / Martin, M. / Reyne, S. / Dupré, C. et al. | 2008
- 69220G
-
Controlled deposition of NIST-traceable nanoparticles as additional size standards for photomask applicationsWang, Jing / Pui, David Y. H. / Qi, Chaolong / Yook, Se-Jin / Fissan, Heinz / Ultanir, Erdem / Liang, Ted et al. | 2008
- 69220H
-
Accurate and traceable dimensional metrology with a reference CD-SEMVladár, András E. / Villarrubia, John S. / Cizmar, Petr / Oral, Martin / Postek, Michael T. et al. | 2008
- 69220I
-
Sub-nanometer pitch calibration and data quality evaluation methodologyKe, Chih-Ming / Wang, Yu-hsi / Huang, Jaffee / Hu, Jimmy / Huang, Jacky / Gau, Tsai-Sheng / Lin, Burn J. et al. | 2008
- 69220J
-
A novel AFM method for sidewall measurement of high-aspect ratio patternsWatanabe, Masahiro / Baba, Shuichi / Nakata, Toshihiko / Morimoto, Takafumi / Sekino, Satoshi et al. | 2008
- 69220K
-
TEM validation of CD AFM image reconstruction: part IIDahlen, Gregory A. / Liu, Hao-Chih / Osborn, Marc / Osborne, Jason R. / Tracy, Bryan / del Rosario, Amalia et al. | 2008
- 69220L
-
Dimension controlled CNT probe of AFM metrology tool for 45-nm node and beyondSekino, Satoshi / Morimoto, Takafumi / Kurenuma, Toru / Hirooka, Motoyuki / Tanaka, Hiroki et al. | 2008
- 69220M
-
Overlay metrology tool calibration using blossomBinns, Lewis A. / Smith, Nigel P. / Dasari, Prasad et al. | 2008
- 69220N
-
Using in-chip overlay metrologyGirol-Gunia, Stefanie / Schulz, Bernd / Smith, Nigel / Binns, Lewis et al. | 2008
- 69220O
-
Diffraction based overlay metrology: accuracy and performance on front end stackLeray, Philippe / Cheng, Shaunee / Kandel, Daniel / Adel, Michael / Marchelli, Anat / Vakshtein, Irina / Vasconi, Mauro / Salski, Bartlomiej et al. | 2008
- 69220P
-
Optimization of high order control including overlay, alignment, and samplingChoi, Dongsub / Lee, Chulseung / Bang, Changjin / Cho, Daehee / Gil, Myunggoon / Izikson, Pavel / Yoon, Seunghoon / Lee, Dohwa et al. | 2008
- 69220Q
-
Overlay measurement based on dual-overlay grating imageShyu, Deh-Ming / Ku, Yi-sha / Dong, Shu-Ping et al. | 2008
- 69220R
-
Assessing scatterometry for measuring advanced spacer structures with embedded SiGeSendelbach, Matthew / Zangooie, Shahin / Vaid, Alok / Herrera, Pedro / Leng, Jingmin / Kim, InKyo et al. | 2008
- 69220S
-
Characterization of 32nm node BEOL grating structures using scatterometryZangooie, Shahin / Sendelbach, Matthew / Angyal, Matthew / Archie, Charles / Vaid, Alok / Matthew, Itty / Herrera, Pedro et al. | 2008
- 69220T
-
Advanced profile control and the impact of sidewall angle at gate etch for critical nodesLee, Hyung / Ranjan, Alok / Prager, Dan / Bandy, Kenneth A. / Meyette, Eric / Sundararajan, Radha / Viswanathan, Anita / Yamashita, Asao / Funk, Merritt et al. | 2008
- 69220U
-
Scatterometry as technology enabler for embedded SiGe processVaid, Alok / Pal, Rohit / Sendelbach, Matthew / Zangooie, Shahin / Lensing, Kevin / Hartig, Carsten et al. | 2008
- 69220V
-
Measurement of high-k and metal film thickness on FinFET sidewalls using scatterometryDziura, Thaddeus G. / Bunday, Benjamin / Smith, Casey / Hussain, Muhammad M. / Harris, Rusty / Zhang, Xiafang / Price, Jimmy M. et al. | 2008
- 69220W
-
Industrial characterization of scatterometry for advanced APC of 65 nm CMOS logic gate patterningDabertrand, Karen / Touchet, Mathieu / Kremer, Stephanie / Chaton, Catherine / Gatefait, Maxime / Aparicio, Enrique / Polli, Marco / Royer, Jean-Claude et al. | 2008
- 69220X
-
Impact of sampling on uncertainty: semiconductor dimensional metrology applicationsBunday, Benjamin / Rijpers, Bart / Banke, Bill / Archie, Chas / Peterson, Ingrid B. / Ukraintsev, Vladimir / Hingst, Thomas / Asano, Masafumi et al. | 2008
- 69220Y
-
CD uniformity control via real-time control of photoresist propertiesChen, Ming / Fu, Jun / Ho, Weng Khuen / Tay, Arthur et al. | 2008
- 69220Z
-
Process control for 45 nm CMOS logic gate patterningLe Gratiet, Bertrand / Gouraud, Pascal / Aparicio, Enrique / Babaud, Laurene / Dabertrand, Karen / Touchet, Mathieu / Kremer, Stephanie / Chaton, Catherine / Foussadier, Franck / Sundermann, Frank et al. | 2008
- 69221A
-
Characterization of CD-SEM metrology for iArF photoresist materialsBunday, Benjamin / Cordes, Aaron / Orji, N. G. / Piscani, Emil / Cochran, Dan / Byers, Jeff / Allgair, John / Rice, Bryan J. / Avitan, Yohanan / Peltinov, Ram et al. | 2008
- 69221B
-
Advanced CD-SEM metrology to improve total process control performance for hyper-NA lithographyOsaki, Mayuka / Tanaka, Maki / Shishido, Chie / Ishimoto, Toru / Hasegawa, Norio / Sekiguchi, Kohei / Watanabe, Kenji / Cheng, Shaunee / Laidler, David / Ercken, Monique et al. | 2008
- 69221C
-
CD-SEM contour-based process monitoring in DRAM production environmentKramer, Uwe / Jackisch, David / Wildfeuer, Robert / Fuchs, Stefan / Jauzion-Graverolle, Franck / Ben-Nahumb, Gilad / Menadeva, Ovadya / Ventola, Stefano et al. | 2008
- 69221D
-
Accurate in-resolution level overlay metrology for multipatterning lithography techniquesEnglard, Ilan / Piech, Richard / Masia, Claudio / Hillel, Noam / Gershtein, Liraz / Sofer, Dana / Peltinov, Ram / Adan, Ofer et al. | 2008
- 69221E
-
Sources of overlay error in double patterning integration schemesLaidler, David / Leray, Philippe / D’havé, Koen / Cheng, Shaunee et al. | 2008
- 69221F
-
Correlating overlay metrology precision to interlayer dielectric film propertiesPaserba, Kris R. et al. | 2008
- 69221G
-
Overlay improvement by zone alignment strategyHuang, Chun-Yen / Lee, Ai-Yi / Shih, Chiang-Lin / Yang, Richer / Yuan, Michael / Chen, Henry / Chang, Ray et al. | 2008
- 69221H
-
Challenges of OPC model calibration from SEM contoursGranik, Yuri / Kusnadi, Ir et al. | 2008
- 69221I
-
Empirical data validation for model buildingKazarian, Aram et al. | 2008
- 69221J
-
Automated creation of production metrology recipes based on design informationCain, Jason P. / Threefoot, Mark / Shah, Kishan / Schulz, Bernd / Girol-Gunia, Stefanie / Hoeft, Jon-Tobias et al. | 2008
- 69221K
-
Impact of assistance feature to pattern profile for isolated feature in sub-65 nm nodeKim, Myungsoo / Yun, Young-Je / Jeong, Eunsoo / Choi, Kwangseon / Kim, Jeahee / Han, Jaewon et al. | 2008
- 69221L
-
Accurate device simulations through CD-SEM-based edge-contour extractionShauly, Eitan / Menadeva, Ovadya / Drori, Rami / Cohen-Yasour, Moran / Rotstein, Israel / Peltinov, Ram / Bartov, Avishai / Latinski, Sergei / Siany, Amit / Geshesl, Mark et al. | 2008
- 69221M
-
Angle resolved optical metrologySilver, R. M. / Barnes, B. M. / Heckert, A. / Attota, R. / Dixson, R. / Jun, J. et al. | 2008
- 69221N
-
Opportunities and challenges for optical CD metrology in double patterning process controlWack, Daniel C. / Hench, John / Poslavsky, Leonid / Fielden, John / Zhuang, Vera / Mieher, Walter / Dziura, Ted et al. | 2008
- 69221O
-
Forward solve algorithms for optical critical dimension metrologyJiang, P. L. / Chu, H. / Hench, J. / Wack, Dan et al. | 2008
- 69221P
-
Comparison of spectroscopic Mueller polarimetry, standard scatterometry, and real space imaging techniques (SEM and 3D-AFM) for dimensional characterization of periodic structuresDe Martino, A. / Foldyna, M. / Novikova, T. / Cattelan, D. / Barritault, P. / Licitra, C. / Hazart, J. / Foucher, J. / Bogeat, F. et al. | 2008
- 69221R
-
Physical matching of CD-SEM: noise analysis and verification in FAB environmentKramer, Uwe / Navarra, Alessandra / Fleischer, Goeran / Kaiser, Jan / Voss, Frank / Zuckerman, Galit / Kris, Roman / Ben-Dayan, Igal / Sommer, Elad / Len, Amir et al. | 2008
- 69221S
-
AWV: high-throughput cross-array cross-wafer variation mappingYeo, Jeong-Ho / Lee, Byoung-Ho / Lee, Tae-Yong / Greenberg, Gadi / Meshulach, Doron / Ravid, Erez / Levi, Shimon / Kan, Kobi / Shabtay, Saar / Cohen, Yehuda et al. | 2008
- 69221T
-
CD bias reduction in CD-SEM linewidth measurements for advanced lithographyTanaka, Maki / Meessen, Jeroen / Shishido, Chie / Watanabe, Kenji / Minnaert-Janssen, Ingrid / Vanoppen, Peter et al. | 2008
- 69221U
-
Automatic CD-SEM offline recipe creation in a high volume production fabGirol-Gunia, Stefanie / Roling, Stefan / Menadeva, Ovadya / Levitzky, Dan / Costa, Adi / Fischer, Daniel et al. | 2008
- 69221V
-
Automated CD-SEM metrology for efficient TD and HVM [6922-70]Starikov, A. / Mulapudi, S.P. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
- 69221V
-
Automated CD-SEM metrology for efficient TD and HVMStarikov, Alexander / Mulapudi, Satya P. et al. | 2008
- 69221W
-
Modeling for metrology with a helium beamRamachandra, Ranjan / Griffin, Brendan J. / Joy, David C. et al. | 2008
- 69221X
-
Novel CD inspection technology leveraging a form birefringence in a Fourier spaceKawai, Akitoshi / Mochida, Daisaku / Yoshino, Kiminori / Yamazaki, Yuuichiro et al. | 2008
- 69221Y
-
Experimental quantification of reticle electrostatic damage below the threshold for ESDRider, Gavin C. / Kalkur, Thottam S. et al. | 2008
- 69221Z
-
Linewidth roughness and cross-sectional measurements of sub-50 nm structures with CD-SAXS and CD-SEMWang, Chengqing / Choi, Kwang-Woo / Jones, Ronald L. / Soles, Christopher / Lin, Eric K. / Wu, Wen-li / Clarke, James S. / Villarrubia, John S. / Bunday, Benjamin et al. | 2008
- 69222A
-
Phase metrology on 45-nm node phase-shift mask structuresLee, Kyung M. / Tavassoli, Malahat / Buttgereit, Ute / Seidel, Dirk / Birkner, Robert / Perlitz, Sascha et al. | 2008
- 69222B
-
A new high-resolution photomask inspection system for contamination detectionMu, Bo / Dayal, Aditya / Yiin, Lih-Huah / Zhu, Jinggang / Miller, John / Inderhees, Gregg et al. | 2008
- 69222C
-
Systematic defect inspection and verification for distributions of critical dimension in OPC models utilizing design based metrology toolPark, Jeong-Geun / Lee, Sang-ho / Kang, Young-Seog / Park, Young-Kyou / Kitamura, Tadashi / Hasebe, Toshiaki / Nakazawa, Shinichi et al. | 2008
- 69222D
-
Advanced method to monitor design-process marginality for 65nm node and beyondHuang, Crockett / Young, Chris / Liu, Hermes / Tzou, S. F. / Tsui, David / Chang, Ellis et al. | 2008
- 69222E
-
CD-SAXS measurements using laboratory-based and synchrotron-based instrumentsWang, Chengqing / Choi, Kwang-Woo / Fu, Wei-En / Ho, Derek L. / Jones, Ronald L. / Soles, Christopher / Lin, Eric K. / Wu, Wen-Li / Clarke, James S. / Bunday, Benjamin et al. | 2008
- 69222F
-
A novel methodology for model-based OPC verificationHuang, Tengyen / Liao, ChunCheng / Chou, Ryan / Liao, Hung-Yueh / Schacht, Jochen et al. | 2008
- 69222H
-
Effect of setpoint on CD measurement in CD-AFM: plausibility studyPark, B. C. / Choi, J. / Ahn, S. J. / Shin, M-j / Ihm, D-c / Lee, B-h et al. | 2008
- 69222J
-
Recent CD AFM probe developments for sub-45 nm technology nodesLiu, Hao-Chih / Osborne, Jason R. / Dahlen, Gregory A. / Greschner, Johann / Bayer, Thomas / Kalt, Samuel / Fritz, Georg et al. | 2008
- 69222K
-
Electron-beam-patterning simulation and metrology of complex layouts on Si/Mo multilayer substratesPatsis, G. P. / Drygiannakis, D. / Tsikrikas, N. / Raptis, I. / Gogolides, E. et al. | 2008
- 69222L
-
Application of model-based library approach to Si3N4hardmask measurementsTanaka, Maki / Shishido, Chie / Nagatomo, Wataru / Watanabe, Kenji et al. | 2008
- 69222M
-
Calibration of CD-SEM: moving from relative to absolute measurementsBabin, S. / Borisov, S. / Ivanchikov, A. / Ruzavin, I. et al. | 2008
- 69222N
-
Automated metrology for SEM calibration and CD line measurements using image analysis and SEM modeling methodsKhvatkov, Vitali / Alievski, Vasily / Kadushnikov, Radi / Babin, Sergey et al. | 2008
- 69222O
-
Further study on the verification of CD-SEM based monitoring for hyper NA lithographyIshimoto, T. / Osaki, M. / Sekiguchi, K. / Hasegawa, N. / Watanabe, K. / Laidler, D. / Cheng, S. et al. | 2008
- 69222P
-
MuGFET observation and CD measurement by using CD-SEMMaeda, Tatsuya / Tanaka, Maki / Isawa, Miki / Watanabe, Kenji / Hasegawa, Norio / Sekiguchi, Kohei / Rooyackers, Rita / Collaert, Nadine / Vandeweyer, Tom et al. | 2008
- 69222Q
-
High order correction and sampling strategy for 45nm immersion lithography overlay controlHsueh, Bo Yun / Huang, George K. C. / Yu, Chun-Chi / Hsu, Jerry K. C. / Huang, Chin-Chou Kevin / Huang, Chien-Jen / Tien, David et al. | 2008
- 69222R
-
Improve overlay control and scanner utilization through high order correctionsLin, Hung Ming / Lin, Benjamin / Wu, James / Chiu, Smixer / Huang, Chin-Chou Kevin / Manka, James / Goh, Desmond / Huang, Healthy / Tien, David et al. | 2008
- 69222S
-
Overlay control using scatterometry based metrology (SCOM) in production environmentDinu, Berta / Fuchs, Stefan / Kramer, Uwe / Kubis, Michael / Marchelli, Anat / Navarra, Alessandra / Sparka, Christian / Widmann, Amir et al. | 2008
- 69222T
-
Alignment system and process optimization for improvement of double patterning overlayMa, Won-kwang / Kang, Jung-hyun / Lim, Chang-moon / Kim, HyeongSoo / Moon, Seung-chan / Lalbahadoersing, Sanjay / Oh, Seung-chul et al. | 2008
- 69222U
-
Sampling for advanced overlay process controlChoi, DongSub / Izikson, Pavel / Sutherland, Doug / Sherman, Kara / Manka, Jim / Robinson, John C. et al. | 2008
- 69222V
-
A system to optimize mix-and-match overlay in lithographyWakamoto, Shinji / Ishii, Yuuki / Yasukawa, Koji / Maejima, Shinroku / Kato, Atsuhiko / Robinson, John C. / Choi, Dong-Sub et al. | 2008
- 69222W
-
Diffraction based overlay metrology for α-carbon applicationsSaravanan, Chandra Saru / Tan, Asher / Dasari, Prasad / Goelzer, Gary / Smith, Nigel / Woo, Seouk-Hoon / Shin, Jang Ho / Kang, Hyun Jae / Kim, Ho Chul et al. | 2008
- 69222X
-
Film stacking architecture for immersion lithography processGoto, Tomohiro / Sanada, Masakazu / Miyagi, Tadashi / Shigemori, Kazuhito / Kanaoka, Masashi / Yasuda, Shuichi / Tamada, Osamu / Asai, Masaya et al. | 2008
- 69222Y
-
Controlling macro and micro surface topography for a 45nm copper CMP process using a high resolution profilerOrtleb, Thomas / Marxsen, Gerd / Heinrich, Jens / Reichert, Jeff / Haupt, Ronny / Yam, Petrie et al. | 2008
- 69222Z
-
Effects produced by CDU improvement of resist pattern with PEB temperature control for wiring resistance variation reductionTadokoro, Masahide / Shinozuka, Shinichi / Ogata, Kunie / Morimoto, Tamotsu et al. | 2008
- 69223A
-
Wafer edge polishing process for defect reduction during immersion lithographyOkazaki, Motoya / Maas, Raymond / Ko, Sen-Hou / Chen, Yufei / Miller, Paul / Thothadri, Mani / Dutta, Manjari / Chang, Chorng-Ping / Anapolsky, Abraham / Lazik, Chris et al. | 2008
- 69223B
-
High throughput wafer defect monitor for integrated metrology applications in photolithographyRao, Nagaraja / Kinney, Patrick / Gupta, Anand et al. | 2008
- 69223D
-
UV-reflectometory for fast trench-depth measurementHorie, Masahiro / Shiota, Shuji / Yamaguchi, Shinji / Yamano, Kakumichi / Kobayashi, Masayoshi et al. | 2008
- 69223E
-
Study of ADI (After Develop Inspection) on photo resist wafers using electron beam (III): novel method for ADI on metal hard mask by penetration contrastHayashi, Teruyuki / Saito, Misako / Fujihara, Kaoru / Jau, Jack et al. | 2008
- 69223G
-
Improving dry etch control for contact plugs in advanced DRAM manufacturingBao, Tianming / Bar, Yuval / Fong, David / Godbole, Mukund et al. | 2008
- 69223H
-
In-line focus-dose monitoring for hyper NA imagingLoi, Sara / Fasciszewski Zeballos, Alejandro / Iessi, Umberto / Robinson, John / Izikson, Pavel / Mani, Antonio / Polli, Marco et al. | 2008
- 69223J
-
Picometer-scale accuracy in pitch metrology by optical diffraction and atomic force microscopyChernoff, Donald A. / Buhr, Egbert / Burkhead, David L. / Diener, Alexander et al. | 2008
- 69223K
-
Development of back-end-of-the-line applications using optical digital profilometry (ODP)Huang, Jun-Ji / Yeh, J. H. / Luo, Ying / Wu, Li / Wen, Youxian et al. | 2008
- 69223L
-
Scatterometry based overlay metrologyMatsumoto, Takahiro / Ina, Hideki / Sentoku, Koichi / Oishi, Satoru et al. | 2008
- 69223M
-
Spectroscopic ellipsometer for ultra thin filmAkashika, Kumiko / Shiota, Shuji / Yamaguchi, Shinji / Horie, Masahiro / Kobayashi, Masayoshi et al. | 2008
- 69223N
-
Characterization of sub-50-nm line array structures with angle-resolved multiple wavelength scatterometryKotelyanskii, Michael / Shen, Fei / Jiang, Gary / Bunday, Benjamin et al. | 2008
- 69223O
-
Sensitivity and performance estimates for the multiple wavelength multiple incidence angle ellipsometry for OCD applicationsKotelyanskii, Michael / Jiang, Gary et al. | 2008
- 69223P
-
Modeling the effect of finite size gratings on scatterometry measurementsKenyon, Elizabeth / Cresswell, Michael W. / Patrick, Heather J. / Germer, Thomas A. et al. | 2008
- 69223Q
-
Characterization of the poly gate ACI structure with laser based angle resolved multiple wavelength scatterometryJiang, Gary / Kotelyanskii, Michael / Shen, Fei et al. | 2008
- 69223R
-
Low-kn&kvariation impact on CD accuracy of scatterometryChen, Yan / Yamamoto, Masahiro / Likhachev, Dmitriy / He, Gang / Sonoda, Akihiro / Vuong, Vi et al. | 2008
- 69223S
-
Implementation of spectroscopic critical dimension (SCD) for leveling inline monitor of ASML 193nm scannerLin, W. K. / Yeh, Mike et al. | 2008
- 69223T
-
3D semiconductor grooves measurement simulations (scatterometry) using nonstandard FDTD methodsShirasaki, Hirokimi et al. | 2008
- 69223U
-
Novel approach for immersion lithography defectivity control to increase productivityEnglard, Ilan / Stegen, Raf / Vanoppen, Peter / Minnaert-Janssen, Ingrid / der Kinderen, Ted / van Brederode, Erik / Duray, Frank / Linders, Jeroen / Ovchinnikov, Denis / Piech, Rich et al. | 2008
- 69223V
-
Traceable calibration of AFM step height measurements for integrated circuit manufacturingRobert, James / Banke, Bill / Dixson, Ronald / Strocchia-Rivera, Carlos et al. | 2008
- 69223X
-
22 nm node contact hole formation in extreme ultra-violet lithographyKim, Eun-Jin / Kim, Kwan-Hyung / Park, Hyeong-Ryeol / Yeo, Jun-Yeob / Kim, Jai-Soon / Oh, Hye-Keun et al. | 2008
- 69223Y
-
Advanced lithography parameters extraction by using scatterometry system: part IIZhou, Wenzhan / Hsieh, Michael / Koh, Huipeng / Zhou, Meisheng et al. | 2008
- 692201
-
Front Matter: Volume 6922| 2008
- 692202
-
Diffraction order control in overlay metrology: a review of the roadmap optionsAdel, Mike / Kandel, Daniel / Levinski, Vladimir / Seligson, Joel / Kuniavsky, Alex et al. | 2008
- 692203
-
Overlay metrology at the crossroadsSmith, Nigel P. / Binns, Lewis A. / Plambeck, Albert / Heidrich, Kevin et al. | 2008
- 692204
-
Production aspects of 45nm immersion lithography defect monitoring using laser DUV inspection methodologyKirsch, Remo / Martin, Antje / Okoroanyanwu, Uzodinma / Grundke, Wolfram / Vogler, Ute / Beyer, Mirko / Valfer, Eran / Weiher-Tellford, Susan / Perlovitch, Renana / Racah, Nurit et al. | 2008
- 692206
-
Immersion lithography bevel solutionsTedeschi, Len / Tamada, Osamu / Sanada, Masakazu / Yasuda, Shuichi / Asai, Masaya et al. | 2008
- 692207
-
Versatile DUV scatterometer of the PTB and FEM based analysis for mask metrologyWurm, Matthias / Diener, Alexander / Bodermann, Bernd / Gross, Hermann / Model, Regine / Rathsfeld, Andreas et al. | 2008
- 692208
-
Toward accurate feature shape metrologyOrji, Ndubuisi G. / Dixson, Ronald G. / Bunday, Benjamin D. / Allgair, John A. et al. | 2008
- 692209
-
Extracting dose and focus from critical dimension data: optimizing the inverse solutionLensing, Kevin R. / Stirton, J. Broc / Chauhan, Siddharth et al. | 2008
- 692210
-
Improvement of gate CD uniformity for 55 nm node logic devicesMurakami, Takashi / Nakata, Taisaku / Taniguchi, Kensuke / Uchiyama, Takayuki / Jyousaka, Megumi / Tadokoro, Masahide / Konishi, Yoshitaka et al. | 2008
- 692211
-
Metrology characterization for self-aligned double patterningBerger, Ami / Latinsky, Sergey / Bar-Zvi, Maayan / Peltinov, Ram / Shu, Jen / Ngai, Chris / Yu, James / Dai, Huixiong et al. | 2008
- 692212
-
Focus and dose control to actual process waferIna, Hideki / Sentoku, Koichi et al. | 2008
- 692213
-
Defect criticality index (DCI): a new methodology to significantly improve DOI sampling rate in a 45nm production environmentSato, Yoshiyuki / Yamada, Yasuyuki / Kaga, Yasuhiro / Yamazaki, Yuuichiro / Aoki, Masami / Tsui, David / Young, Chris / Chang, Ellis et al. | 2008
- 692214
-
Lot acceptance sampling inspection plan for non-normal CD distributionIkeda, Takahiro / Asano, Masafumi et al. | 2008
- 692215
-
Improvements on the simulation of microscopic images for the defect detection of nanostructuresRafler, Stephan / Schuster, Thomas / Frenner, Karsten / Osten, Wolfgang / Seifert, Uwe et al. | 2008
- 692216
-
Defect inspection using a high-resolution pattern image obtained from multiple low-resolution images of the same pattern on an observed noisy SEM imageTakashima, Masahiko / Midoh, Yoshihiro / Nakamae, Koji et al. | 2008
- 692217
-
Contamination specification for dimensional metrology SEMsVladár, András E. / Purushotham, K. P. / Postek, Michael T. et al. | 2008
- 692218
-
In-line inspection resistance mapping using quantitative measurement of voltage contrast in SEM imagesMatsui, Miyako / Anan, Yoshihiro / Odaka, Takayuki / Nagaishi, Hiroshi / Sakurai, Koichi et al. | 2008
- 692219
-
Experiment and simulation of charging effects in SEMBabin, S. / Borisov, S. / Miyano, Y. / Abe, H. / Kadowaki, M. / Hamaguchi, A. / Yamazaki, Y. et al. | 2008
- 692220
-
A novel method for pushing the limits of line edge roughness detection by scatterometryCohen, Yoel / Yaakobovitz, Barak / Tsur, Yoed / Scheiner, David et al. | 2008
- 692221
-
Influence of image processing on line-edge roughness in CD-SEM measurementYamaguchi, Atsuko / Yamamoto, Jiro et al. | 2008
- 692222
-
Practical and bias-free LWR measurement by CDSEMWang, S.-B. / Chiu, Y. H. / Tao, H. J. / Mii, Y. J. et al. | 2008
- 692223
-
Fractal dimension of line width roughness and its effects on transistor performanceConstantoudis, V. / Gogolides, E. et al. | 2008
- 692224
-
Exploring the limitations of x-ray reflectivity as a critical dimension pattern shape metrologyLee, Hae-Jeong / Kim, Sangcheol / Soles, Christopher L. / Lin, Eric K. / Wu, Wen-Li et al. | 2008
- 692225
-
Probe-pattern grating focus monitor through scatterometry calibrationXue, Jing / Spanos, Costas J. / Neureuther, Andrew R. et al. | 2008
- 692226
-
An objective image focus for CD-SEMZhang, Huichai / Gould, Christopher / Roberts, Bill / McQuillan, Matthew et al. | 2008
- 692227
-
Ellipsometric inspection of the inner surface of pellicle-covered masksLee, Sangyouk / Song, Chulgi / Rhim, Jusang / Lee, Hyoungjoo / Kyoung, Jaisun / Chin, Soobok / Ahn, Taehyuk / An, Ilsin et al. | 2008
- 692228
-
Optics characterization with compact EUV spectrophotometerBlaschke, H. / Balasa, I. / Koch, L. / Starke, K. / Ristau, D. / Wies, C. / Lebert, R. / Bayer, A. / Barkusky, F. / Mann, K. et al. | 2008
- 692229
-
Verification of optics for the die-to-wafer-like image mask inspectionTakada, Akira / Tojo, Toru / Shibuya, Masato et al. | 2008
- 692230
-
Rationalizing the mechanism of HMDS degradation in air and effective control of the reaction byproductsSeguin, Kevin / Dallas, Andrew J. / Weineck, Gerald et al. | 2008
- 692231
-
Stress measurement system for process control [6922-114]Akashika, K. / Horie, M. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
- 692231
-
Stress measurement system for process controlAkashika, Kumiko / Horie, Masahiro et al. | 2008
- 692234
-
CDU improvement technology of etching pattern using photo lithographyTadokoro, Masahide / Shinozuka, Shinichi / Jyousaka, Megumi / Ogata, Kunie / Morimoto, Tamotsu / Konishi, Yoshitaka et al. | 2008
- 692235
-
Film thickness measurement tool with a stress measurement functionHorie, Masahiro / Akashika, Kumiko / Shiota, Shuji / Yamaguchi, Shinji / Yamano, Kakumichi et al. | 2008
- 692235
-
Film thickness measurement tool with a stress measurement function [6922-118]Horie, M. / Akashika, K. / Shiota, S. / Yamaguchi, S. / Yamano, K. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
- 692237
-
In-situ real-time temperature control of baking systems in lithographyWang, Yuheng / Chua, Hui-Tong / Tay, Arthur et al. | 2008
- 692238
-
Dimensionality reduction methods in virtual metrologyZeng, Dekong / Tan, Yajing / Spanos, Costas J. et al. | 2008
- 692239
-
Wide applications of design based metrology with tool integrationYang, Hyunjo / Kim, Jungchan / Jung, Areum / Lee, Taehyeong / Yim, Donggyu / Kim, Jinwoong / Hasebe, Toshiaki / Yamamoto, Masahiro et al. | 2008
- 692242
-
Compensating for SSIS sizing/classification error in a defect review SEM worldRuprecht, David / McGarvey, Steve et al. | 2008
- 692242
-
Compensating for SSIS sizing/classification error in a defect review SEM world [6922-157]Ruprecht, D. / McGarvey, S. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Challenges of implementing contour modeling in 32nm technology [6922-08]Fischer, D. / Han, G. / Oberschmidt, J. / Cheng, Y.W. / Maeng, J.Y. / Archie, C. / Lu, W. / Tabery, C. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Improvement of gate CD uniformity for 55 nm node logic devices [6922-34]Murakami, T. / Nakata, T. / Taniguchi, K. / Uchiyama, T. / Jyousaka, M. / Tadokoro, M. / Konishi, Y. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Contamination specification for dimensional metrology SEMs [6922-41]Vladar, A.E. / Purushotham, K.P. / Postek, M.T. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Impact of assistance feature to pattern profile for isolated feature in sub-65 nm node [6922-57]Kim, M. / Yun, Y.-J. / Jeong, E. / Choi, K. / Kim, J. / Han, J. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
A novel method for pushing the limits of line edge roughness detection by scatterometry [6922-75]Cohen, Y. / Yaakobovitz, B. / Tsur, Y. / Scheiner, D. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Exploring the limitations of x-ray reflectivity as a critical dimension pattern shape metrology [6922-11]Lee, H.-J. / Kim, S. / Soles, C.L. / Lin, E.K. / Wu, W. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Probe-pattern grating focus monitor through scatterometry calibration [6922-63]Xue, J. / Spanos, C.J. / Neureuther, A.R. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Recent CD AFM probe developments for sub-45 nm technology nodes [6922-91]Liu, H.-C. / Osborne, J.R. / Dahlen, G.A. / Greschner, J. / Bayer, T. / Kalt, S. / Fritz, G. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Alignment system and process optimization for improvement of double patterning overlay [6922-103]Ma, W. / Kang, J. / Lim, C. / Kim, H. / Moon, S. / Lalbahadoersing, S. / Oh, S. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Rationalizing the mechanism of HMDS degradation in air and effective control of the reaction byproducts [6922-113]Seguin, K. / Dallas, A.J. / Weineck, G. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
In-line focus-dose monitoring for hyper NA imaging [6922-132]Loi, S. / Zeballos, A.F. / Iessi, U. / Robinson, J. / Izikson, P. / Mani, A. / Polli, M. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Development of back-end-of-the-line applications using optical digital profilometry (ODP) [6922-135]Huang, J.-J. / Yeh, J.H. / Luo, Y. / Wu, L. / Wen, Y. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Spectroscopic ellipsometer for ultra thin film [6922-137]Akashika, K. / Shiota, S. / Yamaguchi, S. / Horie, M. / Kobayashi, M. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Characterization of sub-50-nm line array structures with angle-resolved multiple wavelength scatterometry [6922-139]Kotelyanskii, M. / Shen, F. / Jiang, G. / Bunday, B. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Advanced lithography parameters extraction by using scatterometry system: part II [6922-152]Zhou, W. / Hsieh, M. / Koh, H. / Zhou, M. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Optical through-focus technique that differentiates small changes in line width, line height, and sidewall angle for CD, overlay, and defect metrology applications [6922-13]Attota, R. / Silver, R. / Barnes, B.M. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Overlay measurement based on dual-overlay grating image [6922-24]Shyu, D.-M. / Ku, Y. / Dong, S.-P. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Advanced profile control and the impact of sidewall angle at gate etch for critical nodes [6922-27]Lee, H. / Ranjan, A. / Prager, D. / Bandy, K.A. / Meyette, E. / Sundararajan, R. / Viswanathan, A. / Yamashita, A. / Funk, M. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
In-line inspection resistance mapping using quantitative measurement of voltage contrast in SEM images [6922-42]Matsui, M. / Anan, Y. / Odaka, T. / Nagaishi, H. / Sakurai, K. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Characterization of CD-SEM metrology for iArF photoresist materials [6922-44]Bunday, B. / Cordes, A. / Orji, N.G. / Piscani, E. / Cochran, D. / Byers, J. / Allgair, J. / Rice, B.J. / Avitan, Y. / Peltinov, R. et al. | 2008
-
Challenges of OPC model calibration from SEM contours [6922-54]Granik, Y. / Kusnadi, I. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Opportunities and challenges for optical CD metrology in double patterning process control [6922-60]Wack, D.C. / Hench, J. / Poslavsky, L. / Fielden, J. / Zhuang, V. / Mieher, W. / Dziura, T. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Novel CD inspection technology leveraging a form birefringence in a Fourier space [6922-72]Kawai, A. / Mochida, D. / Yoshino, K. / Yamazaki, Y. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Practical and bias-free LWR measurement by CDSEM [6922-77]Wang, S.-B. / Chiu, Y.H. / Tao, H.J. / Mii, Y.J. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Phase metrology on 45-nm node phase-shift mask structures [6922-81]Lee, K.M. / Tavassoli, M. / Buttgereit, U. / Seidel, D. / Birkner, R. / Perlitz, S. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
A novel methodology for model-based OPC verification [6922-86]Huang, T. / Liao, C. / Chou, R. / Liao, H.-Y. / Schacht, J. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Picometer-scale accuracy in pitch metrology by optical diffraction and atomic force microscopy [6922-134]Chernoff, D.A. / Buhr, E. / Burkhead, D.L. / Diener, A. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
3D semiconductor grooves measurement simulations (scatterometry) using nonstandard FDTD methods [6922-147]Shirasaki, H. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
The potentials of helium ion microscopy for semiconductor process metrology [6922-09]Postek, M.T. / Vladar, A.E. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Sub-nanometer pitch calibration and data quality evaluation methodology [6922-17]Ke, C.-M. / Wang, Y. / Huang, J. / Hu, J. / Gau, T.-S. / Lin, B.J. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Defect critically index (DCI): a new methodology to significantly improve DOI sampling rate in a 45nm production environment [6922-37]Sato, Y. / Yamada, Y. / Kaga, Y. / Yamazaki, Y. / Aoki, M. / Tsui, D. / Young, C. / Chang, E. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Defect inspection using a high-resolution pattern image obtained from multiple low-resolution images of the same pattern on an observed noisy SEM image [6922-40]Takashima, M. / Midoh, Y. / Nakamae, K. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Calibration of CD-SEM: moving from relative to absolute measurements [6922-94]Babin, S. / Borisov, S. / Ivanchikov, A. / Ruzavin, I. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
MuGFET observation and CD measurement by using CD-SEM [6922-98]Maeda, T. / Tanaka, M. / Isawa, M. / Watanabe, K. / Hasegawa, N. / Sekiguchi, K. / Rooyackers, R. / Collaert, N. / Vandeweyer, T. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Film stacking architecture for immersion lithography process [6922-109]Goto, T. / Sanada, M. / Miyagi, T. / Shigemori, K. / Kanaoka, M. / Yasuda, S. / Tamada, O. / Asai, M. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Effects produced by CDU improvement of resist pattern with PEB temperature control for wiring resistance variation reduction [6922-112]Tadokoro, M. / Shinozuka, S. / Ogata, K. / Morimoto, T. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Controlled deposition of NIST-traceable nanoparticles as additional size standards for photomask applications [6922-15]Wang, J. / Pui, D.Y.H. / Qi, C. / Yook, S.-J. / Fissan, H. / Ultanir, E. / Liang, T. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Accurate and traceable dimensional metrology with a reference CD-SEM [6922-16]Vladar, A.E. / Villarrubia, J.S. / Cizmar, P. / Oral, M. / Postek, M.T. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Assessing scatterometry for measuring advanced spacer structures with embedded SiGe [6922-25]Sendelbach, M. / Zangooie, S. / Vaid, A. / Herrera, P. / Leng, J. / Kim, I. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Empirical data validation for model building [6922-55]Kazarian, A. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
A new high-resolution photomask inspection system for contamination detection [6922-82]Mu, B. / Dayal, A. / Yiin, L.-H. / Zhu, J. / Miller, J. / Inderhees, G. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Overlay control using scatterometry based metrology (SCOM) in production environment [6922-101]Dinu, B. / Fuchs, S. / Kramer, U. / Kubis, M. / Marchelli, A. / Navarra, A. / Sparka, C. / Widmann, A. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
A system to optimize mix-and-match overlay in lithography [6922-107]Wakamoto, S. / Ishii, Y. / Yasukawa, K. / Maejima, S. / Kato, A. / Robinson, J.C. / Choi, D.-S. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
In-situ real-time temperature control of baking systems in lithography [6922-120]Wang, Y. / Chua, H.-T. / Tay, A. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Impact of sampling on uncertainty: semiconductor dimensional metrology applications [6922-31]Bunday, B. / Rijpers, B. / Banke, B. / Archie, C. / Peterson, I.B. / Ukraintsev, V. / Hingst, T. / Asano, M. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
CD uniformity control via real-time control of photoresist properties [6922-32]Chen, M. / Fu, J. / Ho, W.K. / Tay, A. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Improvements on the simulation of microscopic images for the defect detection of nanostructures [6922-39]Rafler, S. / Schuster, T. / Frenner, K. / Osten, W. / Seifert, U. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Sources of overlay error in double patterning integration schemes [6922-49]Laidler, D. / Leray, P. / D have, K. / Cheng, S. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Modeling for metrology with a helium beam [6922-71]Ramachandra, R. / Griffin, B.J. / Joy, D.C. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Optics characterization with compact EUV spectrophotometer [6922-79]Blaschke, H. / Balasa, I. / Koch, L. / Starke, K. / Ristau, D. / Wies, C. / Lebert, R. / Bayer, A. / Barkusky, F. / Mann, K. et al. | 2008
-
Effect of setpoint on CD measurement in CD-AFM: plausibility study [6922-88]Park, B.C. / Choi, J. / Ahn, S.J. / Shin, M. / Ihm, D. / Lee, B. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
CD-SAXS measurements using laboratory-based and synchrotron-based instruments [6922-85]Wang, C. / Choi, K.-W. / Fu, W.-E. / Ho, D.L. / Jones, R.L. / Soles, C. / Lin, E.K. / Wu, W. / Clarke, J.S. / Bunday, B. et al. | 2008
-
Application of model-based library approach to Si~3N~4 hardmask measurements [6922-93]Tanaka, M. / Shishido, C. / Nagatomo, W. / Watanabe, K. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Sampling for advanced overlay process control [6922-105]Choi, D. / Izikson, P. / Sutherland, D. / Sherman, K. / Manka, J. / Robinson, J.C. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Controlling macro and micro surface topography for a 45nm copper CMP process using a high resolution profiler [6922-111]Ortleb, T. / Marxsen, G. / Heinrich, J. / Reichert, J. / Haupt, R. / Yam, P. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Scatterometry based overlay metrology [6922-136]Matsumoto, T. / Ina, H. / Sentoku, K. / Oishi, S. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Low-k n&k variation impact on CD accuracy of scatterometry [6922-145]Chen, Y. / Yamamoto, M. / Likhachev, D. / He, G. / Sonoda, A. / Vuong, V. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Traceable calibration of AFM step height measurements for integrated circuit manufacturing [6922-149]Robert, J. / Banke, B. / Dixson, R. / Strocchia-Rivera, C. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Novel approach for immersion lithography defectivity control to increase productivity [6922-148]Englard, I. / Stegen, R. / Vanoppen, P. / Minnaert-Janssen, I. / Kinderen, T.d. / van Brederode, E. / Duray, F. / Linders, J. / Ovchinnikov, D. / Piech, R. et al. | 2008
-
Lot acceptance sampling inspection plan for non-normal CD distribution [6922-38]Ikeda, T. / Asano, M. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Overlay improvement by zone alignment strategy [6922-53]Huang, C.-Y. / Lee, A.-Y. / Shih, C.-L. / Yang, R. / Yuan, M. / Chen, H. / Chang, R. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Wide applications of design based metrology with tool integration [6922-123]Yang, H. / Kim, J. / Jung, A. / Lee, T. / Yim, D. / Hasebe, T. / Yamamoto, M. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Study of ADI (After Develop Inspection) on photo resist wafers using electron beam (III): novel method for ADI on metal hard mask by penetration contrast [6922-129]Hayashi, T. / Saito, M. / Fujihara, K. / Jau, J. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Improving dry etch control for contact plugs in advanced DRAM manufacturing [6922-131]Bao, T. / Bar, Y. / Fong, D. / Godbole, M. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Implementation of spectroscopic critical dimension (SCD) for leveling inline monitor of ASML 193nm scanner [6922-146]Lin, W.K. / Yeh, M. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Diffraction order control in overlay metrology: a review of the roadmap options (Invited Paper) [6922-01]Adel, M. / Kandel, D. / Levinski, V. / Seligson, J. / Kuniavsky, A. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Toward accurate feature shape metrology [6922-06]Orji, N.G. / Dixson, R.G. / Bunday, B.D. / Allgair, J.A. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Dimension controlled CNT probe of AFM metrology tool for 45-nm node and beyond [6922-20]Sekino, S. / Morimoto, T. / Kurenuma, T. / Hirooka, M. / Tanaka, H. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Overlay metrology tool calibration using blossom [6922-21]Binns, L.A. / Smith, N.P. / Dasari, P. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Measurement of high-k and metal film thickness on FinFET sidewalls using scatterometry [6922-29]Dziura, T.G. / Bunday, B. / Smith, C. / Hussain, M.M. / Harris, R. / Zhang, X. / Price, J.M. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Focus and dose control to actual process wafer [6922-36]Ina, H. / Sentoku, K. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Automated creation of production metrology recipes based on design information [6922-56]Cain, J.P. / Threefoot, M. / Shah, K. / Schulz, B. / Girol-Gunia, S. / Hoeft, J.-T. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Experimental quantification of reticle electrostatic damage below the threshold for ESD [6922-73]Rider, G.C. / Kalkur, T.S. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Fractal dimension of line width roughness and its effects on transistor performance [6922-156]Constantoudis, V. / Gogolides, E. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Ellipsometric inspection of the inner surface of pellicle-covered masks [6922-78]Lee, S. / Song, C. / Rhim, J. / Lee, H. / Kyoung, J. / Chin, S. / Ahn, T. / An, I. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Verification of optics for the die-to-wafer-like image mask inspection [6922-80]Takada, A. / Tojo, T. / Shibuya, M. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
UV-reflectometory for fast trench-depth measurement [6922-128]Horie, M. / Shiota, S. / Yamaguchi, S. / Yamano, K. / Kobayashi, M. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Modeling the effect of finite size gratings on scatterometry measurements [6922-142]Kenyon, E. / Cresswell, M.W. / Patrick, H.J. / Germer, T.A. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Immersion lithography bevel solutions [6922-158]Tedeschi, L. / Tamada, O. / Sanada, M. / Yasuda, S. / Asai, M. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Evaluating diffraction based overlay metrology for double patterning technologies [6922-10]Saravanan, C.S. / Liu, Y. / Dasari, P. / Kristun, O. / Volkman, C. / Acheta, A. / La Fontaine, B. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Characterization of 32nm node BEOL grating structures using scatterometry [6922-26]Zangooie, S. / Sendelbach, M. / Angyal, M. / Archie, C. / Vaid, A. / Matthew, I. / Herrera, P. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
CD-SEM contour-based process monitoring in DRAM production environment [6922-47]Kramer, U. / Jackisch, D. / Wildfeuer, R. / Fuchs, S. / Jauzion-Graverolle, F. / Ben-Nahumb, G. / Menadeva, O. / Ventala, S. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Angle resolved optical metrology [6922-59]Silver, R.M. / Barnes, B.M. / Heckert, A. / Attota, R. / Dixson, R. / Jun, J. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Comparison of spectroscopic Mueller polarimetry, standard scatterometry, and real space imaging techniques (SEM and 3D-AFM) for dimensional characterization of periodic structures [6922-62]De Martino, A. / Foldyna, M. / Novikova, T. / Cattelan, D. / Barritault, P. / Licitra, C. / Hazart, J. / Foucher, J. / Bogeat, F. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
CD bias reduction in CD-SEM linewidth measurements for advanced lithography [6922-67]Tanaka, M. / Meessen, J. / Shishido, C. / Watanabe, K. / Minnaert-Janssen, I. / Vanoppen, P. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Automatic CD-SEM offline recipe creation in a high volume production fab [6922-69]Girol-Gunia, S. / Roling, S. / Menadeva, O. / Levitzky, D. / Costa, A. / Fischer, D. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
22 nm node contact hole formation in extreme ultra-violet lithography [6922-151]Kim, E.-J. / Kim, K.-H. / Park, H.-R. / Yeo, J.-Y. / Kim, J.-S. / Oh, H.-K. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Overlay metrology at the crossroads (Invited Paper) [6922-02]Smith, N.P. / Binns, L.A. / Plambeck, A. / Heidrich, K. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Production aspects of 45nm immersion lithography defect monitoring using laser DUV inspection methodology [6922-03]Kirsch, R. / Martin, A. / Okoroanyanwu, U. / Grundkeb, W. / Vogler, U. / Beyer, M. / Valfer, E. / Weiher-Tellford, S. / Perlovitch, R. / Racah, N. et al. | 2008
-
Versatile DUV scatterometer of the PTB and FEM based analysis for mask metrology [6922-05]Wurm, M. / Diener, A. / Bodermann, B. / Gross, H. / Model, R. / Rathsfeld, A. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Paving the way for multiple applications for the 3D-AFM technique in the semiconductor industry [6922-14]Foucher, J. / Pargon, E. / Martin, M. / Reyne, S. / Dupre, C. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Optimization of high order control including overlay, alignment, and sampling [6922-106]Choi, D. / Lee, C. / Bang, C. / Cho, D. / Gil, M. / Izikson, P. / Yoon, S. / Lee, D. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Scatterometry as technology enabler for embedded SiGe process [6922-28]Vaid, A. / Pal, R. / Sendelbach, M. / Zangooie, S. / Lensing, K. / Hartig, C. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Process control for 45 nm CMOS logic gate patterning [6922-33]Le Gratiet, B. / Gouraud, P. / Aparicio, E. / Babaud, L. / Dabertrand, K. / Touchet, M. / Kremer, S. / Chaton, C. / Foussadier, F. / Sundermann, F. et al. | 2008
-
Accurate in-resolution level overlay metrology for multipatterning lithography techniques [6922-48]Englard, I. / Piech, R. / Masia, C. / Hillel, N. / Gershtein, L. / Sofer, D. / Peltinov, R. / Adan, O. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Physical matching of CD-SEM: noise analysis and verification in FAB environment [6922-65]Kramer, U. / Navarra, A. / Fleischer, G. / Kaiser, J. / Voss, F. / Zuckerman, G. / Kris, R. / Ben-Dayan, I. / Sommer, E. / Len, A. et al. | 2008
-
AWV: high-throughput cross-array cross-wafer variation mapping [6922-66]Yeo, J.-H. / Lee, B.-H. / Lee, T.-Y. / Greenberg, G. / Meschulach, D. / Ravid, E. / Levi, S. / Kan, K. / Shabtay, S. / Cohen, Y. et al. | 2008
-
Influence of image processing on line-edge roughness in CD-SEM measurement [6922-76]Yamaguchi, A. / Yamamoto, J. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Extracting dose and focus from critical dimension data: optimizing the inverse solution [6922-07]Lensing, K.R. / Stirton, J.B. / Chauhan, S. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Using in-chip overlay metrology [6922-22]Girol-Gunia, S. / Schulz, B. / Smith, N. / Binns, L. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Industrial characterization of scatterometry for advanced APC of 65 nm CMOS logic gate patterning [6922-30]Dabertrand, K. / Touchet, M. / Kremer, S. / Chaton, C. / Gatefait, M. / Aparicio, E. / Polli, M. / Royer, J.-C. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Experiment and simulation of charging effects in SEM [6922-43]Babin, S. / Borisov, S. / Miyano, Y. / Abe, H. / Kadowaki, M. / Hamaguchi, A. / Yamazaki, Y. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Correlating overlay metrology precision to interlayer dielectric film properties [6922-52]Paserba, K.R. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Automated metrology for SEM calibration and CD line measurements using image analysis and SEM modeling methods [6922-96]Khvatkov, V. / Alievski, V. / Kadushnikov, R. / Babin, S. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Further study on the verification of CD-SEM based monitoring for hyper NA lithography [6922-97]Ishimoto, T. / Osaki, M. / Sekiguchi, K. / Hasegawa, N. / Watanabe, K. / Laidler, D. / Cheng, S. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
High throughput wafer defect monitor for integrated metrology applications in photolithography [6922-126]Rao, N. / Kinney, P. / Gupta, A. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Characterization of the poly gate ACI structure with laser based angle resolved multiple wavelength scatterometry [6922-143]Jiang, G. / Kotelyanskii, M. / Shen, F. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
TEM validation of CD AFM image reconstruction: part II [6922-19]Dahlen, G.A. / Liu, H.-C. / Osborn, M. / Osborne, J.R. / Tracy, B. / del Rosario, A. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Advanced CD-SEM metrology to improve total process control performance for hyper-NA lithography [6922-45]Osaki, M. / Tanaka, M. / Shishido, C. / Ishimoto, T. / Hasegawa, N. / Sekiguchi, K. / Watanabe, K. / Cheng, S. / Laidler, D. / Ercken, M. et al. | 2008
-
Forward solve algorithms for optical critical dimension metrology [6922-61]Jiang, P.L. / Chu, H. / Hench, J. / Wack, D. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Linewidth roughness and cross-sectional measurements of sub-50 nm structures with CD-SAXS and CD-SEM [6922-74]Wang, C. / Choi, K.-W. / Jones, R.L. / Soles, C. / Lin, E.K. / Wu, W. / Clarke, J.S. / Villarrubia, J.S. / Bunday, B. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
An objective image focus for CD-SEM [6922-68]Zhang, H. / Gould, C. / Roberts, B. / McQuillan, M. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Systematic defect inspection and verification for distributions of critical dimension in OPC models utilizing design based metrology tool [6922-83]Park, J.-G. / Lee, S. / Park, Y.-S. / Kitamura, T. / Hasebe, T. / Nakazawa, S. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Advanced method to monitor design-process marginality for 65nm node and beyond [6922-84]Huang, C. / Young, C. / Liu, H. / Tzou, S.F. / Tsui, D. / Chang, E. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Electron-beam-patterning simulation and metrology of complex layouts on Si/Mo multilayer substrates [6922-92]Patsis, G.P. / Drygiannakis, D. / Tsikrikas, N. / Raptis, I. / Gogolides, E. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Improve overlay control and scanner utilization through high order corrections [6922-100]Lin, H.M. / Lin, B. / Wu, J. / Chiu, S. / Huang, C.-C.K. / Manka, J. / Goh, D. / Huang, H. / Tien, D. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Dimensionality reduction methods in virtual metrology [6922-121]Zeng, D. / Tan, Y. / Spanos, C.J. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Plasma cleaning of nanoparticles from EUV mask materials by electrostatics [6922-12]Lytle, W.M. / Raju, R. / Shin, H. / Das, C. / Neumann, M.J. / Ruzic, D.N. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
A novel AFM method for sidewall measurement of high-aspect ratio patterns [6922-18]Watanabe, M. / Baba, S. / Nakata, T. / Morimoto, T. / Sekino, S. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Diffraction based overlay metrology: accuracy and performance on front end stack [6922-23]Leray, P. / Cheng, S. / Kandel, D. / Adel, M. / Marchelli, A. / Vakshtein, I. / Vasconi, M. / Salski, B. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Metrology characterization for self-aligned double patterning [6922-35]Berger, A. / Latinsky, S. / Bar-Zvi, M. / Peltinov, R. / Shu, J. / Ngai, C. / Yu, J. / Dai, H. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Accurate device simulations through CD-SEM-based edge-contour extraction [6922-95]Shauly, E. / Menadeva, O. / Drori, R. / Cohen-Yasour, M. / Rotstein, I. / Peltinov, R. / Bartov, A. / Latinski, S. / Siany, A. / Geshesl, M. et al. | 2008
-
High order correction and sampling strategy for 45nm immersion lithography overlay control [6922-99]Hsueh, B.Y. / Huang, G.K.C. / Yu, C.-C. / Hsu, J.K.C. / Huang, C.-C.K. / Huang, C.-J. / Tien, D. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Diffraction based overlay metrology for alpha -carbon applications [6922-108]Saravanan, C.S. / Tan, A. / Dasari, P. / Goelzer, G. / Smith, N. / Woo, S.-H. / Shin, J.H. / Kang, H.J. / Kim, H.C. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
CDU improvement technology of etching pattern using photo lithography [6922-117]Tadokoro, M. / Shinozuka, S. / Jyousaka, M. / Ogata, K. / Morimoto, T. / Konishi, Y. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008
-
Wafer edge polishing process for defect reduction during immersion lithography [6922-125]Okazaki, M. / Maas, R. / Ko, S.-H. / Chen, Y. / Miller, P. / Thothadri, M. / Dutta, M. / Chang, C.-P. / Anapolsky, A. / Lazik, C. et al. | 2008
-
Sensitivity and performance estimates for the multiple wavelength multiple incidence angle ellipsometry for OCD applications [6922-140]Kotelyanskii, M. / Jiang, G. / Society of Photo-optical Instrumentation Engineers; International SEMATECH et al. | 2008