Application of review-SEM to high-resolution inspection for 3xnm nodes (English)
- New search for: Oh, J. H.
- New search for: Kwon, G.
- New search for: Mun, D. Y.
- New search for: Yoo, H. W.
- New search for: Choi, Y. S.
- New search for: Kim, T. H.
- New search for: Fukunaga, F.
- New search for: Umehara, S.
- New search for: Nozoe, M.
- New search for: Oh, J. H.
- New search for: Kwon, G.
- New search for: Mun, D. Y.
- New search for: Yoo, H. W.
- New search for: Choi, Y. S.
- New search for: Kim, T. H.
- New search for: Fukunaga, F.
- New search for: Umehara, S.
- New search for: Nozoe, M.
In:
Proc. SPIE
;
8324
; 83242P
;
2012
-
ISBN:
-
ISSN:
- Conference paper / Electronic Resource
-
Title:Application of review-SEM to high-resolution inspection for 3xnm nodes
-
Contributors:Oh, J. H. ( author ) / Kwon, G. ( author ) / Mun, D. Y. ( author ) / Yoo, H. W. ( author ) / Choi, Y. S. ( author ) / Kim, T. H. ( author ) / Fukunaga, F. ( author ) / Umehara, S. ( author ) / Nozoe, M. ( author )
-
Conference:Metrology, Inspection, and Process Control for Microlithography XXVI ; 2012 ; San Jose,California,USA
-
Published in:Proc. SPIE ; 8324 ; 83242P
-
Publisher:
- New search for: SPIE
-
Publication date:2012-03-09
-
ISBN:
-
ISSN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 83240A
-
New analytical algorithm for overlay accuracyHam, Boo-Hyun / Yun, Sangho / Kwak, Min-Cheol / Ha, Soon Mok / Kim, Cheol-Hong / Nam, Suk-Woo et al. | 2012
- 83240B
-
ArFi lithogrphy optimization for thin OMOG reticle with fast aerial imagingCohen, Yaron / Finders, Jo / Mangan, Shmoolik / Englard, Ilan / Mouraille, Orion / Janssen, Maurice / Miyazaki, Junji / Connolly, Brid / Kojima, Yosuke / Higuchi, Masaru et al. | 2012
- 83240C
-
Transistor architecture impact on wafer inspectionCrimmins, Timothy F. et al. | 2012
- 83240D
-
Ultrahigh 22-nm resolution EUV coherent diffraction imaging using a tabletop 13-nm high harmonic sourceSeaberg, Matthew D. / Adams, Daniel E. / Zhang, Bosheng / Gardner, Dennis F. / Murnane, Margaret M. / Kapteyn, Henry C. et al. | 2012
- 83240E
-
Patterned defect and CD metrology by TSOM beyond the 22-nm nodeArceo, Abraham / Bunday, Benjamin / Vartanian, Victor / Attota, Ravikiran et al. | 2012
- 83240F
-
Scatterfield microscopy of 22-nm node patterned defects using visible and DUV lightBarnes, Bryan M. / Sohn, Yeung-Joon / Goasmat, Francois / Zhou, Hui / Silver, Richard M. / Arceo, Abraham et al. | 2012
- 83240G
-
Multiple column high-throughput e-beam inspection (EBI)Lam, David K. / Monahan, Kevin M. / Liu, Enden D. / Tran, Cong / Prescop, Ted et al. | 2012
- 83240H
-
Roughness metrology of gate all around silicon nanowire devicesLevi, Shimon / Schwarzband, Ishai / Kris, Roman / Adan, Ofer / Cohen, Guy M. / Bangsaruntip, Sarunya / Gignac, Lynne et al. | 2012
- 83240I
-
High-throughput and non-destructive sidewall roughness measurement using 3-dimensional atomic force microscopyHua, Yueming / Buenviaje-Coggins, Cynthia / Lee, Yong-ha / Park, Sang-il et al. | 2012
- 83240J
-
Sensitivity analysis of line-edge roughness measured by means of scatterometry: a simulation-based investigationBilski, Bartosz / Frenner, Karsten / Osten, Wolfgang et al. | 2012
- 83240K
-
Noise effects on contact-edge roughness and CD uniformity measurementConstantoudis, V. / Murugesan Kuppuswamy, V.-K. / Gogolides, E. et al. | 2012
- 83240L
-
Investigation of the performance of state-of-the-art defect inspection tools within EUV lithographyVan den Heuvel, Dieter / Jonckheere, Rik / Baudemprez, Bart / Cheng, Shaunee / Marcuccilli, Gino / Cross, Andrew / Inderhees, Gregg / Parisi, Paolo et al. | 2012
- 83240M
-
Scatterometry metrology challenges of EUVDasari, Prasad / Li, Jie / Hu, Jiangtao / Liu, Zhuan / Kritsun, Oleg / Volkman, Catherine et al. | 2012
- 83240N
-
Phase sensitive parametric optical metrology: exploring the limits of three-dimensional optical metrologySilver, Richard M. / Qin, Jing / Barnes, Bryan M. / Zhou, Hui / Dixson, Ronald / Goasmat, Francois et al. | 2012
- 83240O
-
Investigation of E-beam patterned nanostructures using Mueller Matrix based ScatterometryMuthinti, Gangadhara Raja / Peterson, Brennan / Diebold, Alain C. et al. | 2012
- 83240P
-
Accurate optical CD profiler based on specialized finite element methodCarrero, Jesus / Perçin, Gökhan et al. | 2012
- 83240Q
-
Coherent Fourier scatterometry: tool for improved sensitivity in semiconductor metrologyKumar, N. / El Gawhary, O. / Roy, S. / Kutchoukov, V. G. / Pereira, S. F. / Coene, W. / Urbach, H. P. et al. | 2012
- 83240R
-
High-speed, full 3D feature metrology for litho monitoring, matching, and model calibration with scatterometryCramer, Hugo / Chen, Alek / Li, Fahong / Leray, Philippe / Charley, Anne-Laure / van Look, Lieve / Bekaert, Joost / Cheng, Shaunee et al. | 2012
- 83240T
-
Challenges of SEM metrology at sub-10nm linewidthBabin, Sergey / Borisov, Sergey / Peroz, Christophe / Yushmanov, Peter et al. | 2012
- 83240U
-
Contour metrology using critical dimension atomic force microscopyOrji, Ndubuisi G. / Dixson, Ronald G. / Vladár, András E. / Ming, Bin / Postek, Michael T. et al. | 2012
- 83240V
-
On CD-AFM bias related to probe bendingUkraintsev, V. A. / Orji, N. G. / Vorburger, T. V. / Dixson, R. G. / Fu, J. / Silver, R. M. et al. | 2012
- 83240X
-
Sub-nanometer calibration of line width measurement and line edge detection by using STEM and sectional SEMTakamasu, Kiyoshi / Okitou, Haruki / Takahashi, Satoru / Konno, Mitsuru / Inoue, Osamu / Kawada, Hiroki et al. | 2012
- 83240Y
-
Profile variation impact on FIB cross-section metrologyCordes, Aaron / Bunday, Benjamin / Nadeau, Jim et al. | 2012
- 83240Z
-
Automated S/TEM metrology on advanced semiconductor gate structuresStrauss, M. / Arjavac, J. / Horspool, D. N. / Nakahara, K. / Deeb, C. / Hobbs, C. et al. | 2012
- 83241A
-
Evaluation of a novel ultra small target technology supporting on-product overlay measurementsSmilde, Henk-Jan H. / den Boef, Arie / Kubis, Michael / Jak, Martin / van Schijndel, Mark / Fuchs, Andreas / van der Schaar, Maurits / Meyer, Steffen / Morgan, Stephen / Wu, Jon et al. | 2012
- 83241B
-
Application of DBM system to overlay verification and wiggling quantification for advanced processLee, Taehyeong / Kim, Jungchan / Yoo, Gyun / Park, Chanha / Yang, Hyunjo / Yim, Donggyu / Park, Byoungjun / Maruyama, Kotaro / Yamamoto, Masahiro et al. | 2012
- 83241C
-
Quality indicators of image-based overlayChen, Yen-Liang / Huang, Jacky / Lee, Rita / Wang, Chen-Ming / Ke, Chih-Ming / Gau, Tsai-Sheng et al. | 2012
- 83241D
-
Scanning-electron-microscope image processing for accurate analysis of line-edge and line-width roughnessHiraiwa, Atsushi / Nishida, Akio et al. | 2012
- 83241E
-
Static and dynamic photoresist shrinkage effects in EUV photoresistsBunday, Benjamin / Montgomery, Cecilia / Montgomery, Warren / Cordes, Aaron et al. | 2012
- 83241F
-
SEM metrology on bit patterned media nanoimprint template: issues and improvementsHwu, Justin J. / Babin, Sergey / Yushmanov, Peter et al. | 2012
- 83241G
-
Methodology for establishing CD-SEM robust metrology algorithm for development cycles applicationsHitomi, Keiichiro / Lavigne, Erin / Hotta, Shoji / Momonoi, Yoshinori / Colburn, Matthew / Yamaguchi, Atsuko / Sasada, Katsuhiro / Maeda, Tatsuya et al. | 2012
- 83241H
-
Data feed-forward for improved optical CD and film metrologyMihardja, L. / Di, M. / Zhao, Q. / Tan, Z. / Robinson, J. C. / Chouaib, H. et al. | 2012
- 83241I
-
Faster diffraction-based overlay measurements with smaller targets using 3D gratingsLi, Jie / Kritsun, Oleg / Liu, Yongdong / Dasari, Prasad / Volkman, Catherine / Hu, Jiangtao et al. | 2012
- 83241J
-
Novel prediction methodology for etched hole patterning failureMiyoshi, Seiro / Abe, Hideaki / Takahata, Kazuhiro / Ojima, Tomoko / Kajiwara, Masanari / Mimotogi, Shoji / Hashimoto, Kohji et al. | 2012
- 83241K
-
Optimization of blended virtual and actual metrology schemesBaek, Jae Yeon Claire / Spanos, Costas J. et al. | 2012
- 83241L
-
Lithography process control using in-line metrologySpaziani, Nicolas / Inglebert, René-Louis / Massin, Jean et al. | 2012
- 83241M
-
Bridging CD metrology gaps of advanced patterning with assistance of nanomoldingRana, Narender / Goldfarb, Dario et al. | 2012
- 83241N
-
Scanning electron microscopy imaging of ultra-high aspect ratio hole featuresCepler, Aron J. / Bunday, Benjamin / Thiel, Bradley L. / Villarrubia, John S. et al. | 2012
- 83241O
-
High-speed atomic force microscopy and peak force tapping controlHu, Shuiqing / Mininni, Lars / Hu, Yan / Erina, Natalia / Kindt, Johannes / Su, Chanmin et al. | 2012
- 83241P
-
Characterization of ultrathin films by laser-induced sub-picosecond photoacoustics with coherent extreme ultraviolet detectionLi, Qing / Hoogeboom-Pot, Kathleen / Nardi, Damiano / Deeb, Chris / King, Sean / Tripp, Marie / Anderson, Erik / Murnane, Margaret M. / Kapteyn, Henry C. et al. | 2012
- 83241Q
-
Technology review for silicon imagers-based see-through-silicon inspection and metrologyZhou, Wei / Guest, Max / Hart, Darcy et al. | 2012
- 83241R
-
Direct-scatterometry-enabled lithography model calibrationChen, Chih-Yu / Tsai, Kuen-Yu / Shen, Yu-Tian / Lee, Yen-Min / Li, Jia-Han / Shieh, Jason J. / Chen, Alek C. et al. | 2012
- 83241S
-
Impacts of overlay correction model and metrology sampling scheme on device yieldChiu, Chui-Fu / Huang, Chun-Yen / Shieh, Jason / Chiou, Tsann-Bim / Li, Albert / Shih, Chiang-Lin / Chen, Alek et al. | 2012
- 83241U
-
Defect distribution study at through silicon via (TSV) bottom by scanning white-light interference microscopyAhn, Jeongho / Park, Jaeyoung / Ihm, Dongchul / Lee, Byoungho / Chin, Soobok / Kang, Ho-Kyu / Noh, Jiyoung / Ko, Peter / Johnson, Timothy A. / Suk, Namki et al. | 2012
- 83241V
-
A scatterometry-based CD uniformity control solution for Spacer Patterning TechnologyLee, Jongsu / Lim, Chang Moon / Ryu, Chan-Ho / Kim, Myoungsoo / Kang, Hyosang / Cramer, Hugo / Wright, Noelle / Hepp, Birgitt / van Reijnen, Liesbeth / van der Laan, Hans et al. | 2012
- 83241W
-
Automated SEM recipe generation for OPC applicationsBerthiaume, Sylvain / Brist, Travis / Brooker, Peter / Stanton, William / Ward, Brian / Levi, Shimon / Siany, Amit et al. | 2012
- 83241X
-
Small particle defect characterization on critical layers of 22nm Spacer Self-Aligned Double Patterning (SADP)Singh, Gurminder / Dotan, Kfir / Shabtay, Saar / Cai, Man-Ping / Shachar, Noam / Ngai, Chris / Bencher, Chris / Miao, Liyan / Chen, Yongmei et al. | 2012
- 83241Y
-
Integration and automation of DoseMapper in a logic fab APC system: application for 45/40/28nm nodeLe Gratiet, Bertrand / Salagnon, Christophe / de Caunes, Jean / Mikolajczak, Marc / Morin, Vincent / Chojnowski, Nicolas / Sundermann, Frank / Massin, Jean / Pelletier, Alice / Metz, Joel et al. | 2012
- 83241Z
-
Recess gate process control by using 3D SCD in 3xm vertical DRAMKuo, Ming-Feng / Wu, Sheng-Hung / Lan, Tien-Hung / Chang, Shuang Hsun / Wang, Elvis / Chouaib, Houssam / Cheng, Harvey / Zhao, Qiang et al. | 2012
- 83242A
-
Multi-level overlay techniques for improving DPL overlay controlChen, Charlie / Pai, Y. C. / Yu, Dennis / Pang, Peter / Yu, Chun Chi / Wu, Robert (Hsing-Chien) / Huang, Eros (Chien Jen) / Chen, Marson (Chiun-Chieh) / Tien, David / Choi, Dongsub et al. | 2012
- 83242C
-
The root cause of ArF resist CD shrinkage induced by defect inspectionKuo, Tung-Chang et al. | 2012
- 83242D
-
The study of high-sensitive and accurate metrology method by using CD-SEMUeda, K. / Koshihara, S. / Mizuno, T. et al. | 2012
- 83242E
-
Nanoemitter: ultra-high-resolution electron source for CD metrologySchmidt, Sebastian W. / Penzkofer, Christian / Irmer, Bernd et al. | 2012
- 83242F
-
Carbon contamination removal in larger chambers with low-power downstream plasma cleaningMorgan, C. G. / Vane, R. et al. | 2012
- 83242H
-
Evaluation of roughness transfer from Litho to Etch using CD-SEMTanaka, M. / Ishimoto, T. / Kazumi, H. / Cheng, S. et al. | 2012
- 83242I
-
Line-end gap measurement with YieldStar scatterometer: towards an OPC model calibrationCharley, A.-L. / Dusa, M. / Chiou, T.-B. / Leray, P. / Cheng, S. / Fumar-Pici, A. et al. | 2012
- 83242J
-
E-beam inspection system for comparison of wafer and design dataPatterson, Oliver D. / Lee, Julie / Monkowski, Michael D. / Ryan, Deborah A. / Chen, Shih-tsung / Lei, Shuen C. / Wang, Fei / Lee, Chung H. / Tomlinson, Derek / Fang, Wei et al. | 2012
- 83242K
-
Electron-beam proximity effect model calibration for fabricating scatterometry calibration samplesShen, Yu-Tian / Liu, Chun-Hung / Chen, Chih-Yu / Ng, Hoi-Tou / Tsai, Kuen-Yu / Wang, Fu-Ming / Kuan, Chieh-Hsiung / Lee, Yen-Min / Cheng, Hsin-Hung / Li, Jia-Han et al. | 2012
- 83242L
-
How to minimize CD variation and overlay degradation induced by film stressJung, Woo-Yung / Lim, Yong-Hyun / Park, Shin-Ae / Ahn, Sang-Joon / Lee, Ji-Hyun / Yoo, Jung-A / Pyi, Seung-Ho / Kim, Jin-Woong et al. | 2012
- 83242M
-
Improving the measurement performance of angle-resolved scattermetry by use of pupil optimizationWang, Fan / Zhang, Qingyun / Lu, Hailiang / Duan, Lifeng / Li, Xiaoping et al. | 2012
- 83242N
-
In-situ critical dimension control during post-exposure bake with spectroscopic ellipsometryNgo, Yit Sung / Qu, Yifan / Tay, Arthur / Lee, Tong Heng et al. | 2012
- 83242P
-
Application of review-SEM to high-resolution inspection for 3xnm nodesOh, J. H. / Kwon, G. / Mun, D. Y. / Yoo, H. W. / Choi, Y. S. / Kim, T. H. / Fukunaga, F. / Umehara, S. / Nozoe, M. et al. | 2012
- 83242Q
-
A non-uniform SEM contour sampling technique for OPC model calibrationShibahara, T. / Oikawa, M. / Shindo, H. / Sugahara, H. / Hojyo, Y. et al. | 2012
- 83242R
-
Advanced full-automatic inspection of copper interconnectsTakada, S. / Ban, N. / Ishimoto, T. / Suzuki, N. / Umehara, S. / Carbonell, L. / Heylen, N. / Caluwaerts, R. / Volders, H. / Kellens, K. et al. | 2012
- 83242S
-
Classification and recognition of diffraction structures using support vector machine in optical scatterometryZhu, Jinlong / Liu, Shiyuan / Zhang, Chuanwei / Chen, Xiuguo / Dong, Zhengqiong et al. | 2012
- 83242T
-
A study of optical penetration into the micro-periodic structure of semiconductor devicesSekiya, Harutaka / Togashi, Mitsuhiro / Numata, Mitsunori / Usami, Yasutsugu / Cho, Suejin / Jeong, Yongdeok / Yang, Yusin et al. | 2012
- 83242U
-
Mechanism of photoresist shrinkage investigated by single-line scan of electron beamOhashi, Takeyoshi / Oizumi, Hiroaki / Tanaka, Junichi / Kawada, Hiroki et al. | 2012
- 83242W
-
Reticle intensity-based critical dimension uniformity to improve efficiency for DOMA correction in a foundryTang, Kin Wai / Ng, Teng Hwee / Huang, Lei / Ng, Susan / Ku, Thomas / Chia, Wee Teck / Chua, Lin / Li, William / Chin, Aaron / Dayal, Aditya et al. | 2012
- 83242X
-
Experiment analysis of absolute flatness testingJia, Xin / Xing, Tingwen / Lin, Wumei / Liao, Zhijie et al. | 2012
- 83242Z
-
Investigations into an electrostatic chuck design for 450mm Si waferKalkowski, Gerhard / Peschel, Thomas / Hassall, Geoffrey / Alves, Helder / Risse, Stefan et al. | 2012
- 83243A
-
Evaluating diffraction-based overlayLi, Jie / Tan, Asher / Jung, JinWoo / Goelzer, Gary / Smith, Nigel / Hu, Jiangtao / Ham, Boo-Hyun / Kwak, Min-Cheol / Kim, Cheol-Hong / Nam, Suk-Woo et al. | 2012
- 83243B
-
Apply low-temperature plasma in the rework procedure of Al film structure to prevent pattern collapsed and CuAl2precipitationTsai, Jau Yu / Tsao, Kung Hsun / Chen, Tsz Yuan / Huang, Chih Chung / Yeh, Huan Hsin / Liu, Yu Huan et al. | 2012
- 83243C
-
Automated Heuristic Defect Classification (AHDC) for haze-induced defect growth management and mask requalificationMunir, Saghir / Qidwai, Gul et al. | 2012
- 832401
-
Front Matter: Volume 8324| 2012
- 832402
-
Can we get 3D-CD metrology right?Vladár, András E. / Cizmar, Petr / Villarrubia, John S. / Postek, Michael T. et al. | 2012
- 832403
-
Inspection and metrology for through-silicon vias and 3D integrationRudack, Andrew C. et al. | 2012
- 832404
-
Hybrid metrology solution for 1X node technologyVaid, Alok / Elia, Alexander / Kelling, Mark / Allgair, John / Hartig, Carsten / Ebersbach, Peter / McLellan, Erin / Sendelbach, Matthew / Saleh, Nedal / Rana, Narender et al. | 2012
- 832405
-
Dose-focus monitor technique using CD-SEM and application to local variation analysisHotta, Shoji / Brunner, Timothy / Halle, Scott / Hitomi, Keiichiro / Kato, Takeshi / Yamaguchi, Atsuko et al. | 2012
- 832406
-
Potential new CD metrology metric combined with data fusion for future node productionFoucher, J. / Hazart, J. / Griesbach Schuch Figueiro, N. et al. | 2012
- 832407
-
A comparison of alignment and overlay performance with varying hardmask materialsYun, Sangho / Ha, Soon Mok / Nam, Young Min / Kim, Cheol-Hong / Nam, Suk-Woo et al. | 2012
- 832408
-
High-order wafer alignment in manufacturingPike, Michael / Felix, Nelson / Menon, Vinayan / Ausschnitt, Christopher / Wiltshire, Timothy / Meyers, Sheldon / Kim, Won / Minghetti, Blandine et al. | 2012
- 832409
-
Overlay accuracy with respect to device scalingLeray, Philippe / Laidler, David / Cheng, Shaunee et al. | 2012
- 832410
-
Compensation of CD-SEM image-distortion detected by View-Shift MethodInoue, Osamu / Kawasaki, Takahiro / Kawada, Hiroki et al. | 2012
- 832411
-
In-line metrology of 3D interconnect processesKu, Y. S. / Shyu, D. M. / Chang, P. Y. / Hsu, W. T. et al. | 2012
- 832412
-
Measuring thermally induced void growth in conformally filled through-silicon vias (TSVs) by laboratory x-ray microscopyKong, L. W. / Lloyd, J. R. / Liehr, M. / Rudack, A. C. / Arkalgud, S. / Diebold, A. C. et al. | 2012
- 832413
-
Through-silicon via plating void metrology using focused ion beam millRudack, A. C. / Nadeau, J. / Routh, R. / Young, R. J. et al. | 2012
- 832414
-
Measurement of through silicon via etch profile by dark-field optical microscopeShyu, Deh-Ming / Ku, Yi-sha et al. | 2012
- 832415
-
Wafer level warpage characterization of 3D interconnect processing wafersChang, Po-Yi / Ku, Yi-Sha et al. | 2012
- 832417
-
Overlay accuracy fundamentalsKandel, Daniel / Levinski, Vladimir / Sapiens, Noam / Cohen, Guy / Amit, Eran / Klein, Dana / Vakshtein, Irina et al. | 2012
- 832418
-
Size matters in overlay measurementSmith, Nigel P. / Peterson, Brennan L. / Goelzer, Gary R. et al. | 2012
- 832419
-
Feasibility study of matched machine overlay enhancement toward next-generation device developmentLee, Jeongjin / Lee, Seungyoon / Hwang, Chan et al. | 2012
- 832420
-
Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technologyTzai, Wei-Jhe / Chen, Howard / Huang, Yu-Hao / Yu, Chun-Chi / Lin, Ching-Hung B. / Wei, Shi-Ming J. / Xu, Zhi-Qing J. / Yoo, Sungchul / Huang, Chien-Jen E. / Cheng, Chao-Yu H. et al. | 2012
- 832421
-
Fast and accurate scatterometry metrology method for STI CMP step height process evaluationLin, Chih-Hsun / Huang, Climbing / Hsu, Chia-Lin / Sie, Wu-Sian / Wu, J. Y. / Lin, Ching-Hung B. / Xu, Zhi-Qing J. / Yuan, Qiong-Yan / Yoo, Sungchul / Huang, Chien-Jen E. et al. | 2012
- 832422
-
Diffraction-based overlay measurement on dedicated mark using rigorous modeling methodLu, Hailiang / Wang, Fan / Zhang, Qingyun / Chen, Yonghui / Zhou, Chang et al. | 2012
- 832423
-
Contamination control: removing small particles from increasingly large wafersde Jong, A. J. / van der Donck, J. C. J. / Huijser, T. / Kievit, O. / Koops, R. / Koster, N. B. / Molkenboer, F. T. / Theulings, A. M. M. G. et al. | 2012
- 832424
-
Overlay quality metricCohen, Guy / Amit, Eran / Klein, Dana / Kandel, Daniel / Levinski, Vladimir B. et al. | 2012
- 832425
-
Weighted least squares regression for advanced overlay controlKlein, Dana / Robinson, John C. / Cohen, Guy / Huang, Chin-Chou Kevin / Pierson, Bill et al. | 2012
- 832426
-
Toward faster and better litho control in high-volume manufacturingChiu, Chui-Fu / Huang, Chun-Yen / Wu, Wen-Bin / Shih, Chiang-Lin / Huang, Healthy / Manka, James / Choi, DongSub / Lin, Arthur / Tien, David et al. | 2012
- 832427
-
Overlay control methodology comparison: field-by-field and high-order methodsHuang, Chun-Yen / Chiu, Chui-Fu / Wu, Wen-Bin / Shih, Chiang-Lin / Huang, Chin-Chou Kevin / Huang, Healthy / Choi, DongSub / Pierson, Bill / Robinson, John C. et al. | 2012
- 832428
-
CD-SEM and e-beam defect inspection of high-aspect ratio contact holes: measurement and simulation of prechargeBabin, S. / Borisov, S / Kwon, G. / Lee, C. H. / Oh, J. H. / Mun, D. Y. / Yoo, H. W. et al. | 2012
- 832429
-
Accelerating litho technology development for advanced design node flash memory FEOL by next-generation wafer inspection and SEM review platformsLee, Byoung Ho / Ahn, Jeongho / Ihm, Dongchul / Chin, Soobok / Lee, Dong-Ryul / Choi, Seongchae / Lee, Junbum / Kang, Ho-Kyu / Sivaraman, Gangadharan / Yamamoto, Tetsuya et al. | 2012
- 832430
-
Real-time scanning detection system of defects on a photomask by using the light scattering and interference methodLee, Sangon / Han, Byung heon / Jo, Jae Heung / Wee, Hae Sung / Kim, Jong Soo et al. | 2012
- 832431
-
Overlay target design and evaluation for SADP processYeh, C. W. / Huang, Chao-Tien H. / Lin, Kengchi / Huang, C. H. / Yang, Elvis / Yang, T. H. / Chen, K. C. / Lu, Chih-Yuan et al. | 2012
- 832432
-
Overcoming silicon limitations: new 3D-AFM carbon tips with constantly high-resolution for sub-28nm node semiconductor requirementsFoucher, Johann / Schmidt, Sebastian W. / Penzkofer, Christian / Irmer, Bernd et al. | 2012
- 832433
-
Surface scanning inspection system particle detection dependence on aluminum film morphologyPrater, Walter / Tran, Natalie / McGarvey, Steve et al. | 2012
- 832434
-
Residual layer thickness control and metrology in jet and flash imprint lithographySinghal, Shrawan / Attota, Ravikiran / Sreenivasan, S. V. et al. | 2012
- 832436
-
Nanoparticle size and shape evaluation using the TSOM methodDamazo, Bradley / Attota, Ravikiran / Kavuri, Purushotham / Vladár, András E. et al. | 2012
- 832437
-
Photoresist qualification using scatterometry CDVolkovich, Roie / Avrahamov, Yosef / Cohen, Guy / Fallon, Patricia / Yin, Wenyan et al. | 2012
- 832439
-
Improving lithography throughput and minimizing waste using predictive multi-area schedulingKidambi, Madhav / Krishnaswamy, Shekar / Marteney, Steve / Moyne, James / Norman, David / Webb, Jeremy et al. | 2012
-
In-line metrology of 3D interconnect processes (Invited Paper) [8324-35]Ku, Y.S. / Shyu, D.M. / Chang, P.Y. / Hsu, W.T. / SPIE (Society) et al. | 2012
-
Size matters in overlay measurement [8324-42]Smith, N.P. / Peterson, B.L. / Goelzer, G.R. / SPIE (Society) et al. | 2012
-
Data feed-forward for improved optical CD and film metrology [8324-51]Mihardja, L. / Di, M. / Zhao, Q. / Tan, Z. / Robinson, J.C. / Chouaib, H. / SPIE (Society) et al. | 2012
-
Direct-scatterometry-enabled lithography model calibration [8324-54]Chen, C.-Y. / Tsai, K.-Y. / Shen, Y.-T. / Lee, Y.-M. / Li, J.-H. / Shieh, J.J. / Chen, A.C. / SPIE (Society) et al. | 2012
-
Characterization of ultrathin films by laser-induced sub-picosecond photoacoustics with coherent extreme ultraviolet detection [8324-58]Li, Q. / Hoogeboom-Pot, K. / Nardi, D. / Deeb, C. / King, S. / Tripp, M. / Anderson, E. / Murnane, M.M. / Kapteyn, H.C. / SPIE (Society) et al. | 2012
-
Weighted least squares regression for advanced overlay control [8324-72]Klein, D. / Robinson, J.C. / Cohen, G. / Huang, C.-C.K. / Pierson, B. / SPIE (Society) et al. | 2012
-
Accelerating litho technology development for advanced design node flash memory FEOL by next-generation wafer inspection and SEM review platforms [8324-76]Lee, B.H. / Ahn, J. / Ihm, D. / Chin, S. / Lee, D.-R. / Choi, S. / Lee, J. / Kang, H.-K. / Sivaraman, G. / Yamamoto, T. et al. | 2012
-
The root cause of ArF resist CD shrinkage induced by defect inspection [8324-80]Kuo, T.-C. / SPIE (Society) et al. | 2012
-
New analytical algorithm for overlay accuracy [8324-09]Ham, B.-H. / Yun, S. / Kwak, M.-C. / Ha, S.M. / Kim, C.-H. / Nam, S.-W. / SPIE (Society) et al. | 2012
-
ArFi lithography optimization for thin OMOG reticle with fast aerial imaging [8324-11]Cohen, Y. / Finders, J. / Mangan, S. / Englard, I. / Mouraille, O. / Janssen, M. / Miyazaki, J. / Connolly, B. / Kojima, Y. / Higuchi, M. et al. | 2012
-
Scatterometry metrology challenges of EUV [8324-21]Dasari, P. / Li, J. / Hu, J. / Liu, Z. / Kritsun, O. / Volkman, C. / SPIE (Society) et al. | 2012
-
Automated S/TEM metrology on advanced semiconductor gate structures [8324-33]Strauss, M. / Arjavac, J. / Horspool, D.N. / Nakahara, K. / Deeb, C. / Hobbs, C. / SPIE (Society) et al. | 2012
-
Overlay accuracy fundamentals [8324-41]Kandel, D. / Levinski, V. / Sapiens, N. / Cohen, G. / Amit, E. / Klein, D. / Vakshtein, I. / SPIE (Society) et al. | 2012
-
Static and dynamic photoresist shrinkage effects in EUV photoresists [8324-48]Bunday, B. / Montgomery, C. / Montgomery, W. / Cordes, A. / SPIE (Society) et al. | 2012
-
SEM metrology on bit patterned media nanoimprint template: issues and improvements [8324-49]Hwu, J.J. / Babin, S. / Yushmanov, P. / SPIE (Society) et al. | 2012
-
Impacts of overlay correction model and metrology sampling scheme on device yield [8324-57]Chiu, C.-F. / Huang, C.-Y. / Shieh, J. / Chiou, T.-B. / Li, A. / Shih, C.-L. / Chen, A. / SPIE (Society) et al. | 2012
-
Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology [8324-66]Tzai, W.-J. / Chen, H. / Huang, Y.-H. / Yu, C.-C. / Lin, C.-H.B. / Wei, S.-M.J. / Xu, Z.-Q.J. / Yoo, S. / Huang, C.-J.E. / Cheng, C.-Y.H. et al. | 2012
-
Dose-focus monitor technique using CD-SEM and application to local variation analysis [8324-04]Hotta, S. / Brunner, T. / Halle, S. / Hitomi, K. / Kato, T. / Yamaguchi, A. / SPIE (Society) et al. | 2012
-
Methodology for establishing CD-SEM robust metrology algorithm for development cycles applications [8324-50]Hitomi, K. / Lavigne, E. / Hotta, S. / Momonoi, Y. / Colburn, M. / Yamaguchi, A. / Sasada, K. / Maeda, T. / SPIE (Society) et al. | 2012
-
Faster diffraction-based overlay measurements with smaller targets using 3D gratings [8324-52]Li, J. / Kritsun, O. / Liu, Y. / Dasari, P. / Volkman, C. / Hu, J. / SPIE (Society) et al. | 2012
-
Bridging CD metrology gaps of advanced patterning with assistance of nanomolding (Invited Paper) [8324-55]Rana, N. / Goldfarb, D. / SPIE (Society) et al. | 2012
-
Lithography process control using in-line metrology [8324-103]Spaziani, N. / Inglebert, R.-L. / Massin, J. / SPIE (Society) et al. | 2012
-
How to minimize CD variation and overlay degradation induced by film stress [8324-89]Jung, W.-Y. / Lim, Y.-H. / Park, S.-A. / Ahn, S.-J. / Lee, J.-H. / Yoo, J.-A. / Pyi, S.-H. / Kim, J.-W. / SPIE (Society) et al. | 2012
-
Reticle intensity-based critical dimension uniformity to improve efficiency for DOMA correction in a foundry [8324-101]Tang, K.W. / Ng, T.H. / Huang, L. / Ng, S. / Ku, T. / Chia, W.T. / Chua, L. / Li, W. / Chin, A. / Dayal, A. et al. | 2012
-
Investigations into an electrostatic chuck design for 450mm Si wafer [8324-105]Kalkowski, G. / Peschel, T. / Hassall, G. / Alves, H. / Risse, S. / SPIE (Society) et al. | 2012
-
Hybrid metrology solution for 1X-node technology [8324-03]Vaid, A. / Elia, A. / Kelling, M. / Allgair, J. / Hartig, C. / Ebersbach, P. / McLellan, E. / Sendelbach, M. / Saleh, N. / Rana, N. et al. | 2012
-
Multiple column high-throughput e-beam inspection (EBI) [8324-122]Lam, D.K. / Monahan, K.M. / Liu, E.D. / Tran, C. / Prescop, T. / SPIE (Society) et al. | 2012
-
High-throughput and non-destructive sidewall roughness measurement using 3-dimensional atomic force microscopy [8324-113]Hua, Y. / Buenviaje-Coggins, C. / Lee, Y. / Park, S. / SPIE (Society) et al. | 2012
-
Measuring thermally induced void growth in conformally filled through-silicon vias (TSVs) by laboratory x-ray microscopy [8324-36]Kong, L.W. / Lloyd, J.R. / Rudack, A.C. / Arkalgud, S. / Diebold, A.C. / SPIE (Society) et al. | 2012
-
Scanning-electron-microscope image processing for accurate analysis of line-edge and line-width roughness [8324-47]Hiraiwa, A. / Nishida, A. / SPIE (Society) et al. | 2012
-
Fast and accurate scatterometry metrology method for STI CMP step height process evaluation [8324-67]Lin, C.-H. / Huang, C. / Hsu, C.-L. / Sie, W.-S. / Wu, J.Y. / Lin, C.-H.B. / Xu, Z.-Q.J. / Yuan, Q.-Y. / Yoo, S. / Huang, C.-J.E. et al. | 2012
-
Improving the measurement performance of angle-resolved scattermetry by use of pupil optimization [8324-90]Wang, F. / Zhang, Q. / Lu, H. / Duan, L. / Li, X. / SPIE (Society) et al. | 2012
-
Classification and recognition of diffraction structures using support vector machine in optical scatterometry [8324-96]Zhu, J. / Liu, S. / Zhang, C. / Chen, X. / Dong, Z. / SPIE (Society) et al. | 2012
-
Residual layer thickness control and metrology in jet and flash imprint lithography [8324-110]Singhal, S. / Attota, R. / Sreenivasan, S.V. / SPIE (Society) et al. | 2012
-
Improving lithography throughput and minimizing waste using predictive multi-area scheduling [8324-117]Kidambi, M. / Krishnaswamy, S. / Marteney, S. / Moyne, J. / Norman, D. / Webb, J. / SPIE (Society) et al. | 2012
-
Evaluating diffraction-based overlay [8324-118]Li, J. / Tan, A. / Jung, J.W. / Goelzer, G. / Smith, N. / Hu, J. / Ham, B.-H. / Kwak, M.C. / Kim, C.-H. / Nam, S.-W. et al. | 2012
-
Sub-nanometer calibration of line width measurement and line edge detection by using STEM and sectional SEM [8324-31]Takamasu, K. / Okitou, H. / Takahashi, S. / Konno, M. / Inoue, O. / Kawada, H. / SPIE (Society) et al. | 2012
-
Compensation of CD-SEM image-distortion detected by View-Shift Method [8324-34]Inoue, O. / Kawasaki, T. / Kawada, H. / SPIE (Society) et al. | 2012
-
Novel prediction methodology for etched hole patterning failure [8324-53]Miyoshi, S. / Abe, H. / Takahata, K. / Ojima, T. / Kajiwara, M. / Mimotogi, S. / Hashimoto, K. / SPIE (Society) et al. | 2012
-
Technology review for silicon imagers-based see-through-silicon inspection and metrology [8324-116]Zhou, W. / Guest, M. / Hart, D. / SPIE (Society) et al. | 2012
-
Inspection and metrology for through-silicon vias and 3D integration (Keynote Paper) [8324-02]Rudack, A.C. / SPIE (Society) et al. | 2012
-
High-order wafer alignment in manufacturing [8324-07]Pike, M. / Felix, N. / Menon, V. / Ausschnitt, C. / Wiltshire, T. / Meyers, S. / Kim, W. / Minghetti, B. / SPIE (Society) et al. | 2012
-
Transistor architecture impact on wafer inspection [8324-12]Crimmins, T.F. / SPIE (Society) et al. | 2012
-
Ultrahigh 22-nm resolution EUV coherent diffraction imaging using a tabletop 13-nm high harmonic source [8324-13]Seaberg, M.D. / Adams, D.E. / Zhang, B. / Gardner, D.F. / Murnane, M.M. / Kapteyn, H.C. / SPIE (Society) et al. | 2012
-
Sensitivity analysis of line-edge roughness measured by means of scatterometry: a simulation-based investigation [8324-18]Bilski, B. / Frenner, K. / Osten, W. / SPIE (Society) et al. | 2012
-
Evaluation of a novel ultra small target technology supporting on-product overlay measurements [8324-44]Smilde, H.-J.H. / Boef, A.d. / Kubis, M. / Jak, M. / van Schijndel, M. / Fuchs, A. / van der Schaar, M. / Meyer, S. / Morgan, S. / Wu, J. et al. | 2012
-
Automated SEM recipe generation for OPC applications [8324-62]Berthiaume, S. / Brist, T. / Brooker, P. / Stanton, W. / Ward, B. / Levi, S. / Siany, A. / SPIE (Society) et al. | 2012
-
Nanoemitter: ultra-high-resolution electron source for CD metrology [8324-82]Schmidt, S.W. / Penzkofer, C. / Irmer, B. / SPIE (Society) et al. | 2012
-
Advanced full-automatic inspection of copper interconnects [8324-95]Takada, S. / Ban, N. / Ishimoto, T. / Suzuki, N. / Umehara, S. / Carbonell, L. / Heylen, N. / Caluwaerts, R. / Volders, H. / Kellens, K. et al. | 2012
-
Real-time scanning detection system of defects on a photomask by using the light scattering and interference method [8324-106]Lee, S. / Han, B.h. / Jo, J.H. / Wee, H.S. / Kim, J.S. / SPIE (Society) et al. | 2012
-
Automated Heuristic Defect Classification (AHDC) for haze-induced defect growth management and mask requalification [8324-121]Munir, S. / Qidwai, G. / SPIE (Society) et al. | 2012
-
Investigation of the performance of state-of-the-art defect inspection tools within EUV lithography [8324-20]Van den Heuvel, D. / Jonckheere, R. / Baudemprez, B. / Cheng, S. / Marcuccilli, G. / Cross, A. / Inderhees, G. / Parisi, P. / SPIE (Society) et al. | 2012
-
Challenges of SEM metrology at sub-10nm linewidth [8324-27]Babin, S. / Borisov, S. / Peroz, C. / Yushmanov, P. / SPIE (Society) et al. | 2012
-
Measurement of through silicon via etch profile by dark-field optical microscope [8324-38]Shyu, D.-M. / Ku, Y.-S. / SPIE (Society) et al. | 2012
-
Application of DBM system to overlay verification and wiggling quantification for advanced process [8324-45]Lee, T. / Kim, J. / Yoo, G. / Park, C. / Yang, H. / Yim, D. / Park, B. / Maruyama, K. / Yamamoto, M. / SPIE (Society) et al. | 2012
-
Carbon contamination removal in larger chambers with low-power downstream plasma cleaning [8324-83]Morgan, C.G. / Vane, R. / SPIE (Society) et al. | 2012
-
The study of high-sensitive and accurate metrology method by using CD-SEM [8324-81]Ueda, K. / Koshihara, S. / Mizuno, T. / SPIE (Society) et al. | 2012
-
A non-uniform SEM contour sampling technique for OPC model calibration [8324-94]Shibahara, T. / Oikawa, M. / Shindo, H. / Sugahara, H. / Hojyo, Y. / SPIE (Society) et al. | 2012
-
Experiment analysis of absolute flatness testing [8324-102]Jia, X. / Xing, T. / Lin, W. / Liao, Z. / SPIE (Society) et al. | 2012
-
Overcoming silicon limitations: new 3D-AFM carbon tips with constantly high-resolution for sub-28nm node semiconductor requirements [8324-108]Foucher, J. / Schmidt, S.W. / Penzkofer, C. / Irmer, B. / SPIE (Society) et al. | 2012
-
A comparison of alignment and overlay performance with varying hardmask materials [8324-06]Yun, S. / Ha, S.M. / Nam, Y.M. / Kim, C.-H. / Nam, S.-W. / SPIE (Society) et al. | 2012
-
Roughness metrology of gate all around silicon nanowire devices (Invited Paper) [8324-16]Levi, S. / Schwarzband, I. / Kris, R. / Adan, O. / Cohen, G.M. / Bangsaruntip, S. / Gignac, L. / SPIE (Society) et al. | 2012
-
Noise effects on contact-edge roughness and CD uniformity measurement [8324-19]Constantoudis, V. / Kuppuswamy, V.K.M. / Gogolides, E. / SPIE (Society) et al. | 2012
-
Investigation of E-beam patterned nanostructures using Mueller Matrix based Scatterometry [8324-23]Muthinti, G.R. / Peterson, B. / Diebold, A.C. / SPIE (Society) et al. | 2012
-
Coherent Fourier scatterometry: tool for improved sensitivity in semiconductor metrology [8324-25]Kumar, N. / El Gawhary, O. / Roy, S. / Kutchoukov, V.G. / Pereira, S.F. / Coene, W. / Urbach, H.P. / SPIE (Society) et al. | 2012
-
On CD-AFM bias related to probe bending [8324-29]Ukraintsev, V.A. / Orji, N.G. / Vorburger, T.V. / Dixson, R.G. / Fu, J. / Silver, R.M. / SPIE (Society) et al. | 2012
-
Feasibility study of matched machine overlay enhancement toward next-generation device development [8324-43]Lee, J. / Lee, S. / Hwang, C. / SPIE (Society) et al. | 2012
-
Scanning electron microscopy imaging of ultra-high aspect ratio hole features [8324-56]Cepler, A.J. / Bunday, B. / Thiel, B.L. / Villarrubia, J.S. / SPIE (Society) et al. | 2012
-
Evaluation of roughness transfer from Litho to Etch using CD-SEM [8324-85]Tanaka, M. / Ishimoto, T. / Kazumi, H. / Cheng, S. / SPIE (Society) et al. | 2012
-
Application of review-SEM to high-resolution inspection for 3xnm nodes [8324-93]Oh, J.H. / Kwon, G. / Mun, D.Y. / Yoo, H.W. / Choi, Y.S. / Kim, T.H. / Fukunaga, F. / Umehara, S. / Nozoe, M. / SPIE (Society) et al. | 2012
-
A study of optical penetration into the micro-periodic structure of semiconductor devices [8324-97]Sekiya, H. / Togashi, M. / Numata, M. / Usami, Y. / Cho, S. / Jeong, Y. / Yang, Y. / SPIE (Society) et al. | 2012
-
Nanoparticle size and shape evaluation using the TSOM method [8324-112]Damazo, B. / Attota, R. / Kavuri, P. / Vladar, A.E. / SPIE (Society) et al. | 2012
-
Scatterfield microscopy of 22-nm node patterned defects using visible and DUV light [8324-15]Barnes, B.M. / Sohn, Y.-J. / Goasmat, F. / Zhou, H. / Silver, R.M. / Arceo, A. / SPIE (Society) et al. | 2012
-
Phase sensitive parametric optical metrology: exploring the limits of three-dimensional optical metrology [8324-22]Silver, R.M. / Qin, J. / Barnes, B.M. / Zhou, H. / Dixson, R. / Goasmat, F. / SPIE (Society) et al. | 2012
-
Defect distribution study at through silicon via (TSV) bottom by scanning white-light interference microscopy [8324-60]Ahn, J. / Park, J. / Ihm, D. / Lee, B. / Chin, S. / Kang, H.-K. / Noh, J. / Ko, P. / Johnson, T.A. / Suk, N. et al. | 2012
-
Recess gate process control by using 3D SCD in 3xm vertical DRAM [8324-65]Kuo, M.-F. / Wu, S.-H. / Lan, T.-H. / Chang, S.-H. / Wang, E. / Chouaib, H. / Cheng, H. / Zhao, Q. / SPIE (Society) et al. | 2012
-
Diffraction-based overlay measurement on dedicated mark using rigorous modeling method [8324-68]Lu, H. / Wang, F. / Zhang, Q. / Chen, Y. / Zhou, C. / SPIE (Society) et al. | 2012
-
Overlay control methodology comparison: field-by-field and high-order methods [8324-74]Huang, C.-Y. / Chiu, C.-F. / Wu, W.-B. / Shih, C.-L. / Huang, C.-C.K. / Huang, H. / Choi, D. / Pierson, B. / Robinson, J.C. / SPIE (Society) et al. | 2012
-
Overlay target design and evaluation for SADP process [8324-107]Yeh, C.W. / Huang, C.-T.H. / Lin, K. / Huang, C.H. / Yang, E. / Yang, T.H. / Chen, K.C. / Lu, C.-Y. / SPIE (Society) et al. | 2012
-
Potential new CD metrology metric combined with data fusion for future node production [8324-05]Foucher, J. / Hazart, J. / SPIE (Society) et al. | 2012
-
Optimization of blended virtual and actual metrology schemes [8324-100]Baek, J.Y.C. / Spanos, C.J. / SPIE (Society) et al. | 2012
-
High-speed atomic force microscopy and peak force tapping control [8324-123]Hu, S. / Mininni, L. / Hu, Y. / Erina, N. / Kindt, J. / Su, C. / SPIE (Society) et al. | 2012
-
A scatterometry-based CD uniformity control solution for Spacer Patterning Technology [8324-61]Lee, J. / Lim, C.M. / Ryu, C.-H. / Kim, M. / Kang, H. / Cramer, H. / Wright, N. / Hepp, B. / van Reijnen, L. / van der Laan, H. et al. | 2012
-
Integration and automation of DoseMapper in a logic fab APC system: application for 45/40/28nm node [8324-64]LeGratiet, B. / Salagnon, C. / de Caunes, J. / Mikolajczak, M. / Morin, V. / Chojnowski, N. / Sundermann, F. / Massin, J. / Pelletier, A. / Metz, J. et al. | 2012
-
Multi-level overlay techniques for improving DPL overlay control [8324-77]Chen, C. / Pai, Y.C. / Yu, D. / Pang, P. / Yu, C.C. / Wu, R.H.-C. / Huang, E.C.J. / Chen, M.C.-C. / Tien, D. / Choi, D. et al. | 2012
-
Mechanism of photoresist shrinkage investigated by single-line scan of electron beam [8324-98]Ohashi, T. / Oizumi, H. / Tanaka, J. / Kawada, H. / SPIE (Society) et al. | 2012
-
Can we get 3D-CD metrology right? (Keynote Paper) [8324-01]Vladar, A.E. / Cizmar, P. / Villarrubia, J.S. / Postek, M.T. / SPIE (Society) et al. | 2012
-
Overlay accuracy with respect to device scaling [8324-08]Leray, P. / Laidler, D. / Cheng, S. / SPIE (Society) et al. | 2012
-
Patterned defect and CD metrology by TSOM beyond the 22-nm node [8324-14]Arceo, A. / Bunday, B. / Vartanian, V. / Attota, R. / SPIE (Society) et al. | 2012
-
Accurate optical CD profiler based on specialized finite element method [8324-24]Carrero, J. / Percin, G. / SPIE (Society) et al. | 2012
-
High-speed, full 3D feature metrology for litho monitoring, matching, and model calibration with scatterometry [8324-119]Cramer, H. / Chen, A. / Li, F. / Leray, P. / Charley, A.-L. / van Look, L. / Bekaert, J. / Cheng, S. / SPIE (Society) et al. | 2012
-
Contour metrology using critical dimension atomic force microscopy (Invited Paper) [8324-28]Orji, N.G. / Dixson, R.G. / Vladar, A.E. / Ming, B. / Postek, M.T. / SPIE (Society) et al. | 2012
-
Through-silicon via plating void metrology using focused ion beam mill [8324-37]Rudack, A.C. / Nadeau, J. / Routh, R. / Young, R.J. / SPIE (Society) et al. | 2012
-
Overlay quality metric [8324-71]Cohen, G. / Amit, E. / Klein, D. / Kandel, D. / Levinski, V.B. / SPIE (Society) et al. | 2012
-
CD-SEM and e-beam defect inspection of high-aspect ratio contact holes: measurement and simulation of precharge [8324-75]Babin, S. / Borisov, S. / Kwon, G. / Lee, C.H. / Oh, J.H. / Mun, D.Y. / Yoo, H.W. / SPIE (Society) et al. | 2012
-
Line-end gap measurement with YieldStar scatterometer: towards an OPC model calibration [8324-86]Charley, A.-L. / Dusa, M. / Chiou, T.-B. / Leray, P. / Cheng, S. / Fumar-Pici, A. / SPIE (Society) et al. | 2012
-
Electron-beam proximity effect model calibration for fabricating scatterometry calibration samples [8324-88]Shen, Y.-T. / Liu, C.-H. / Chen, C.-Y. / Ng, H.-T. / Tsai, K.-Y. / Wang, F.-M. / Kuan, C.-H. / Lee, Y.-M. / Cheng, H.-H. / Li, J.-H. et al. | 2012
-
Surface scanning inspection system particle detection dependence on aluminum film morphology [8324-109]Prater, W. / Tran, N. / McGarvey, S. / SPIE (Society) et al. | 2012
-
Profile variation impact on FIB cross-section metrology [8324-32]Cordes, A. / Bunday, B. / Nadeau, J. / SPIE (Society) et al. | 2012
-
Wafer level warpage characterization of 3D interconnect processing wafers [8324-39]Chang, P.-Y. / Ku, Y.-S. / SPIE (Society) et al. | 2012
-
Quality indicators of image-based overlay [8324-46]Chen, Y.-L. / Huang, J. / Lee, R. / Wang, C.-M. / Ke, C.-M. / Gau, T.-S. / SPIE (Society) et al. | 2012
-
Small particle defect characterization on critical layers of 22nm spacer Self-Aligned Double Patterning (SADP) [8324-63]Singh, G. / Dotan, K. / Shabtay, S. / Cai, M.-P. / Shachar, N. / Ngai, C. / Bencher, C. / Miao, L. / Chen, Y. / SPIE (Society) et al. | 2012
-
Contamination control: removing small particles from increasingly large wafers [8324-69]de Jong, A.J. / van der Donck, J.C.J. / Huijser, T. / Kievit, O. / Koops, R. / Koster, N.B. / Molkenboer, F.T. / Theulings, A.M.M.G. / SPIE (Society) et al. | 2012
-
Toward faster and better litho control in high-volume manufacturing [8324-73]Chiu, C.-F. / Huang, C.-Y. / Wu, W.-B. / Shih, C.-L. / Huang, H. / Manka, J. / Choi, D. / Lin, A. / Tien, D. / SPIE (Society) et al. | 2012
-
E-beam inspection system for comparison of wafer and design data [8324-87]Patterson, O.D. / Lee, J. / Monkowski, M.D. / Ryan, D.A. / Chen, S. / Lee, S.C. / Wang, F. / Lee, C.H. / Tomlinson, D. / Fang, W. et al. | 2012
-
In-situ critical dimension control during post-exposure bake with spectroscopic ellipsometry [8324-91]Ngo, Y.S. / Qu, Y. / Tay, A. / Lee, T.H. / SPIE (Society) et al. | 2012
-
Photoresist qualification using scatterometry CD [8324-114]Volkovich, R. / Avrahamov, Y. / Cohen, G. / Fallon, P. / Yin, W. / SPIE (Society) et al. | 2012
-
Apply low-temperature plasma in the rework procedure of Al film structure to prevent pattern collapsed and CuAl~2 precipitation [8324-120]Tsai, J.Y. / Tsao, K.H. / Chen, T.Y. / Huang, C.C. / Yeh, H.H. / Liu, Y.H. / SPIE (Society) et al. | 2012