Etching silicon-containing bilayer resists in ammonia-based plasmas (English)
- New search for: Panda, S.
- New search for: Wise, R.
- New search for: Mahorowala, A.
- New search for: Balasubramanium, V.
- New search for: Sugiyama, K.
- New search for: Panda, S.
- New search for: Wise, R.
- New search for: Mahorowala, A.
- New search for: Balasubramanium, V.
- New search for: Sugiyama, K.
In:
JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY B MICROELECTRONICS AND NANOMETER STRUCTURES
;
23
;
900-907
;
2005
-
ISSN:
- Article (Journal) / Print
-
Title:Etching silicon-containing bilayer resists in ammonia-based plasmas
-
Contributors:Panda, S. ( author ) / Wise, R. ( author ) / Mahorowala, A. ( author ) / Balasubramanium, V. ( author ) / Sugiyama, K. ( author )
-
Published in:
-
Publisher:
- New search for: American Vacuum Society
-
Publication date:2005-01-01
-
Size:8 pages
-
ISSN:
-
Type of media:Article (Journal)
-
Type of material:Print
-
Language:English
- New search for: 621.55
- Further information on Dewey Decimal Classification
-
Classification:
DDC: 621.55 -
Source:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Table of contents – Volume 23
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
Electrical and optical properties of GaCrN films grown by molecular beam epitaxyPolyakov, A. Y. / Smirnov, N. B. / Govorkov, A. V. / Thaler, G. T. / Frazier, R. M. / Abernathy, C. R. / Pearton, S. J. et al. | 2005
- 5
-
Thermal oxidation of epitaxial layers characterized by Raman and infrared spectroscopiesCuadras, A. / Garrido, B. / Morante, J. R. / Hunt, C. E. / Robinson, McD et al. | 2005
- 11
-
Deposition of silica-silver nanocomposites by magnetron cosputteringBoscarino, Diego / Vomiero, Alberto / Mattei, Giovanni / Quaranta, Alberto / Mazzoldi, Paolo / Della Mea, Gianantonio et al. | 2005
- 20
-
Local pressure in the proximity of a field emitterŽumer, Marko / Nemanič, Vincenc / Zajec, Bojan et al. | 2005
- 24
-
Deposition of diamond-like carbon film on phase-change optical disk by PECVDUeng, H. Y. / Guo, C. T. et al. | 2005
- 32
-
Fabrication technique for microelectromechanical systems vertical comb-drive actuators on a monolithic silicon substrateZhang, Q. X. / Liu, A. Q. / Li, J. / Yu, A. B. et al. | 2005
- 42
-
Improved C-V characteristics of metal-oxide-semiconductor capacitors with tantaium nitride gate electrodes grown by ultra-low-pressure chemical vapor depositionKadoshima, M. / Akiyama, K. / Yamamoto, K. / Fujiwara, H. / Yasuda, T. / Nabatame, T. / Toriumi, A. et al. | 2005
- 42
-
Improved characteristics of metal-oxide-semiconductor capacitors with tantalum nitride gate electrodes grown by ultra-low-pressure chemical vapor depositionKadoshima, Masaru / Akiyama, Koji / Yamamoto, Katsuhiko / Fujiwara, Hideaki / Yasuda, Tetsuji / Nabatame, Toshihide / Toriumi, Akira et al. | 2005
- 48
-
Electrical properties of and contacts onLin, Yow-Jon et al. | 2005
- 51
-
Sidewall profile control of thick benzocyclobutene reactively ion etched in plasmasBuchwald, Walter R. / Vaccaro, Kenneth et al. | 2005
- 57
-
Use of UV light for selective-area fibroblast cell growthKang, B. S. / Ren, F. / Jeong, B. S. / Kwon, Y. W. / Baik, K. H. / Norton, D. P. / Pearton, S. J. et al. | 2005
- 61
-
Determination of spatial resolution in atomic-force-microscopy-based electrical characterization techniques using quantum well structuresDouhéret, O. / Bonsels, S. / Anand, S. et al. | 2005
- 66
-
Characterization of prototype silicon pitch artifacts fabricated by scanning probe lithography and anisotropic wet etchingChien, F. S.-S. / Hsieh, W.-F. / Gwo, S. / Jun, J. / Silver, R. M. / Vladár, A. E. / Dagata, J. A. et al. | 2005
- 72
-
Nanoimprint process using epoxy-siloxane low-viscosity prepolymerViallet, Benoît / Gallo, Pascal / Daran, Emmanuelle et al. | 2005
- 76
-
Scanning spreading resistance microscopy of two-dimensional diffusion of boron implanted in free-standing silicon nanostructuresKluth, S. M. / Álvarez, D. / Trellenkamp, St. / Moers, J. / Mantl, S. / Kretz, J. / Vandervorst, W. et al. | 2005
- 80
-
Low temperature crystallization of high permittivity Ta oxide using an Nb oxide thin film for metal/insulator/metal capacitors in dynamic random access memory applicationsMa, Dongjoon / Park, Sungho / Seo, Bum-Seok / Choi, SangJun / Lee, Naesung / Lee, Jung-Hyun et al. | 2005
- 84
-
Predicting surface figure in diamond turned calcium fluoride using in-process force measurementMarsh, Eric R. / John, Binu P. / Couey, Jeremiah A. / Wang, Jue / Grejda, Robert D. / Vallance, R. Ryan et al. | 2005
- 90
-
High-temperature self-grown layer against Cu diffusion at interfaceLiu, C. J. / Chen, J. S. et al. | 2005
- 96
-
Anodic bonding using the low expansion glass ceramic Zerodur®van Elp, J. / Giesen, P. T. M. / van der Velde, J. J. et al. | 2005
- 99
-
Tapered sidewall dry etching process for GaN and its applications in device fabricationChoi, H. W. / Jeon, C. W. / Dawson, M. D. et al. | 2005
- 103
-
Mass spectrometry studies of resist trimming processes in and chemistriesPargon, E. / Joubert, O. / Chevolleau, T. / Cunge, G. / Xu, Songlin / Lill, Thorsten et al. | 2005
- 113
-
High-temperature electrostatic chuck for nonvolatile materials dry etchKanno, S. / Edamura, M. / Yoshioka, K. / Nishio, R. / Kanai, S. / Kihara, H. / Shimada, T. et al. | 2005
- 119
-
Use of lateral film structure for ultrathin diffusion barrier thermal stability studyLim, Boon Kiat / Park, Hun-Sub / Tan, Valuina / See, Alex K. H. / Seet, Chim-Seng / Lee, Tae-Jong / Yakovlev, Nikolai L. et al. | 2005
- 125
-
Chamber maintenance and fault detection technique for a gate etch process via self-excited electron resonance spectroscopyBaek, Kye Hyun / Jung, Youngjae / Min, Gyung Jin / Kang, Changjin / Cho, Han Ku / Moon, Joo Tae et al. | 2005
- 130
-
Fabrication of natural diamond microlenses by plasma etchingChoi, H. W. / Gu, E. / Liu, C. / Griffin, C. / Girkin, J. M. / Watson, I. M. / Dawson, M. D. et al. | 2005
- 133
-
Partial blanking of an electron beam using a quadrupole lensZhang, Feng / Smith, Henry I. et al. | 2005
- 138
-
Hydrogen silsesquioxane as a high resolution negative-tone resist for extreme ultraviolet lithographyJunarsa, Ivan / Stoykovich, Mark P. / Nealey, Paul F. / Ma, Yuansheng / Cerrina, Franco / Solak, Harun H. et al. | 2005
- 144
-
Studies on the interfacial and crystallographic characteristics of and stacksKim, Joong-Jung / Yang, Jun-Mo / Jang, Se-Aug / Lim, Kwan-Yong / Cho, Heung-Jae / Lee, Soun-Young / Kawasaki, Masahiro et al. | 2005
- 149
-
Photoluminescence properties of -type InGaAsN grown by rf plasma-assisted molecular beam epitaxyXie, S. Y. / Yoon, S. F. / Wang, S. Z. et al. | 2005
- 153
-
Formation of film on via phase transformation assisted by interfacial layerChung, K. B. / Choi, Y. K. / Jang, M. H. / Noh, M. / Whang, C. N. / Jang, H. K. / Jung, E. J. / Ko, D.-H. et al. | 2005
- 157
-
High-current-density field emitters based on arrays of carbon nanotube bundlesManohara, Harish M. / Bronikowski, Michael J. / Hoenk, Michael / Hunt, Brian D. / Siegel, Peter H. et al. | 2005
- 162
-
thin film growth on (0001)-GaNHansen, Peter J. / Terao, Yutaka / Wu, Yuan / York, Robert A. / Mishra, Umesh K. / Speck, J. S. et al. | 2005
- 168
-
Analysis of stress and composition of silicon nitride thin films deposited by electron cyclotron resonance plasma-enhanced chemical vapor deposition for microfabrication processesCianci, E. / Pirola, F. / Foglietti, V. et al. | 2005
- 173
-
On-wafer monitoring of plasma-induced electrical current in silicon dioxide to predict plasma radiation damageOkigawa, Mitsuru / Ishikawa, Yasushi / Samukawa, Seiji et al. | 2005
- 178
-
Schottky barrier height of -type Si(100) evaluated by forward current–voltage and capacitancePelleg, Joshua / Douhin, A. et al. | 2005
- 186
-
Rigorous coupled wave analysis of front-end-of-line wafer alignment marksYeo, Swee Hock / Tan, Chin Boon / Khoh, Andrew et al. | 2005
- 196
-
Subpicosecond jitter in picosecond electron bunchesIoakeimidi, K. / Gradinaru, S. / Liu, Z. / Machuca, F. / Nielsen, J. F. / Aldana, R. / Bolton, R. P. / Clendenin, J. / Leheny, R. / Pease, R. F. W. et al. | 2005
- 201
-
Temperature dependent defect formation and charging in hafnium oxides and silicatesLim, D. / Haight, R. et al. | 2005
- 206
-
Electron-beam-assisted etching of films byWang, S. / Sun, Y.-M. / White, J. M. / Stivers, A. / Liang, T. et al. | 2005
- 210
-
Highly selective low-damage processes using advanced neutral beams for porous low- filmsOhtake, Hiroto / Inoue, Nobuhiko / Ozaki, Takuya / Samukawa, Seiji / Soda, Eiichi / Inukai, Kazuaki et al. | 2005
- 217
-
Deposition control for reduction of photoresist degradation in dielectric etchingNegishi, N. / Takesue, H. / Sumiya, M. / Yoshida, T. / Momonoi, Y. / Izawa, M. et al. | 2005
- 224
-
Quantifying acid generation efficiency for photoresist applicationsTsiartas, Pavlos C. / Schmid, Gerard M. / Johnson, Heather F. / Stewart, Michael D. / Willson, C. Grant et al. | 2005
- 229
-
Comparative study of polycrystalline Ti, amorphous Ti, and multiamorphous Ti as a barrier film for Cu interconnectOu, Keng-Liang / Yu, Ming-Sun / Hsu, Ray-Quen / Lin, Ming-Hong et al. | 2005
- 236
-
Solvent-assisted polymer-bonding lithographyLuan, Shifang / Xing, Rubo / Wang, Zhe / Yu, Xinhong / Han, Yanchun et al. | 2005
- 242
-
Effect of self-patterned template layer on the structural and optical properties of Ge dotsIsmail, B. / Descoins, M. / Ronda, A. / Bassani, F. / Brémond, G. / Maaref, H. / Berbezier, I. et al. | 2005
- 247
-
Cleaning of extreme ultraviolet lithography optics and masks using and radiationHamamoto, K. / Tanaka, Y. / Watanabe, T. / Sakaya, N. / Hosoya, M. / Shoki, T. / Hada, H. / Hishinuma, N. / Sugahara, H. / Kinoshita, H. et al. | 2005
- 252
-
Lithographic tuning of photonic-crystal unit-cell resonators with InGaAs/GaAs quantum dots emitting at 1.2 mumChoi, Y.-S. / Kim, S.-K. / Kim, S.-H. / Park, H.-G. / Lee, Y.-H. / Kaiander, I. N. / Hopfer, F. / Sellin, R. L. / Bimberg, D. et al. | 2005
- 252
-
Lithographic tuning of photonic-crystal unit-cell resonators with quantum dots emitting atChoi, Y.-S. / Kim, S.-K. / Kim, S.-H. / Park, H.-G. / Lee, Y.-H. / Kaiander, I. N. / Hopfer, F. / Sellin, R. L. / Bimberg, D. et al. | 2005
- 257
-
Characteristics of heavily doped ultrashallow junction prepared by plasma doping and laser annealingBaek, Sungkweon / Heo, Sungho / Choi, Haejung / Hwang, Hyunsang et al. | 2005
- 262
-
Selective growth of InAs quantum dots on patterned GaAsHsieh, Tung-Po / Chiu, Pei-Chin / Liu, Yu-Chuan / Yeh, Nien-Tze / Ho, Wen-Jeng / Chyi, Jen-Inn et al. | 2005
- 267
-
Ideal delta doping of carbon in GaAsWinking, L. / Wenderoth, M. / Reusch, T. C. G. / Ulbrich, R. G. / Wilbrandt, P.-J. / Kirchheim, R. / Malzer, S. / Döhler, G. et al. | 2005
- 271
-
Electron-beam patterning with sub- line edge roughnessMalac, Marek / Egerton, Ray / Freeman, Mark / Lau, June / Zhu, Yimei / Wu, Lijun et al. | 2005
- 274
-
Properties of Mn- and Co-doped bulk ZnO crystalsPolyakov, A. Y. / Smirnov, N. B. / Govorkov, A. V. / Kozhukhova, E. A. / Heo, Y. W. / Ivill, M. P. / Ip, K. / Norton, D. P. / Pearton, S. J. / Kelly, J. et al. | 2005
- 280
-
Diffusion-barrier properties of alloy films and silicidation-induced Cu penetration in contactsNoya, Atsushi / Takeyama, Mayumi B. / Sase, Touko et al. | 2005
- 288
-
Evaluation of lanthanum based gate dielectrics deposited by atomic layer depositionTriyoso, D. H. / Hegde, R. I. / Grant, J. M. / Schaeffer, J. K. / Roan, D. / White, B. E. / Tobin, P. J. et al. | 2005
- 298
-
Performance of nanomanipulator fabricated on glass capillary by focused-ion-beam chemical vapor depositionKometani, R. / Hoshino, T. / Kondo, K. / Kanda, K. / Haruyama, Y. / Kaito, T. / Fujita, J.-i. / Ishida, M. / Ochiai, Y. / Matsui, S. et al. | 2005
- 298
-
Performance of nanomanipulator fabricated on glass capillary by focused-ion-beam chemical vapor depositiona)Kometani, Reo / Hoshino, Takayuki / Kondo, Kazushige / Kanda, Kazuhiro / Haruyama, Yuichi / Kaito, Takashi / Fujita, Jun-ichi / Ishida, Masahiko / Ochiai, Yukinori / Matsui, Shinji et al. | 2005
- 302
-
Monitoring polarization and high-numerical aperture with phase shifting masks: Radial phase gratingMcIntyre, Gregory / Neureuther, Andrew R. et al. | 2005
- 307
-
Verification studies of thermophoretic protection for extreme ultraviolet masksa)Dedrick, Daniel E. / Beyer, Eric W. / Rader, Daniel J. / Klebanoff, Leonard E. / Leung, Alvin H. et al. | 2005
- 307
-
Verification studies of thermophoretic protection for extreme ultraviolet masksDedrick, D. E. / Beyer, E. W. / Rader, D. J. / Klebanoff, L. E. / Leung, A. H. et al. | 2005
- 318
-
Pulsed laser deposition of lanthanum monosulfide thin films on silicon substratesa)Fairchild, S. / Jones, J. / Cahay, M. / Garre, K. / Draviam, P. / Boolchand, P. / Wu, X. / Lockwood, D. J. et al. | 2005
- 318
-
Pulsed laser deposition of lanthanum monosulfide thin films on silicon substratesFairchild, S. / Jones, J. / Cahay, M. / Garre, K. / Draviam, P. / Boolchand, P. / Wu, X. / Lockwood, D. J. et al. | 2005
- 322
-
Investigation of Ta/Ti/Al/Ni/Au ohmic contact to AlGaN/GaN heterostructure field-effect transistorKim, K. H. / Jeon, C. M. / Oh, S. H. / Lee, J.-L. / Park, C. G. / Lee, J. H. / Lee, K. S. / Koo, Y. M. et al. | 2005
- 322
-
Investigation of ohmic contact to heterostructure field-effect transistora)Kim, Ki Hong / Jeon, Chang Min / Oh, Sang Ho / Lee, Jong-Lam / Park, Chan Gyung / Lee, Jung Hee / Lee, Kyu Seok / Koo, Yang Mo et al. | 2005
- 327
-
Effects of amine- and pyridine-terminated molecular nanolayers on adhesion at interfacesGanesan, P. G. / Cui, G. / Vijayamohanan, K. / Lane, M. / Ramanath, G. et al. | 2005
- 332
-
Process integration compatibility of low- and ultra-low- dielectricsMoore, Darren / Carter, Richard / Cui, Hao / Burke, Peter / McGrath, Peter / Gu, S. Q. / Gidley, David / Peng, Huagen et al. | 2005
- 339
-
Fabrication of a nanosized current-driven spin valve device using proximity correction in electron-beam lithographyYi, Hyunjung / Nguyen, T. H. Y. / Chang, Joonyeon / Shin, K. H. et al. | 2005
- 344
-
Fourier transform infrared spectroscopy studies of water-polymer interactions in chemically amplified photoresistsMcDonough, Laurie A. / Chikan, Viktor / Hwan Kim, Zee / Leone, Stephen R. / Hinsberg, William D. et al. | 2005
- 349
-
Diffusion of dopants in highly - and -doped GaSb-based materialsDier, Oliver / Grau, Markus / Lauer, Christian / Lin, Chun / Amann, Markus-Christian et al. | 2005
- 354
-
Micropatterning organosilane self-assembled monolayers with plasma etching and backfilling techniquesHarant, Adam W. / Whipple, Stephen G. / Douglas, Kenneth / Bowman, Christopher N. et al. | 2005
- 359
-
Fabrication and characterization of -type silicon field-emitter arrays for lithographyTeepen, T. F. / van Veen, A. H. V. / van’t Spijker, H. / Steenbrink, S. W. H. K. / van Zuuk, A. / Heerkens, C. Th. H. / Wieland, M. J. / van Druten, N. J. / Kruit, P. et al. | 2005
- 370
-
Performance improvement of diamondlike carbon membrane masks for electron projection lithographyAmemiya, Isao / Yamashita, Hiroshi / Taniguchi, Kazutake / Nakatsuka, Sakae / Kimura, Ikuru / Nagarekawa, Osamu et al. | 2005
- 375
-
Three-dimensional multilayered microstructure fabricated by imprint lithographyOoe, H. / Morimatsu, M. / Yoshikawa, T. / Kawata, H. / Hirai, Y. et al. | 2005
- 380
-
Shot noise power spectrum of planar field emittersRangaswamy, K. / Cahay, M. / Jensen, K. L. et al. | 2005
- 389
-
Reduction of plasma-induced damage in films during pulse-time-modulated plasma irradiationIshikawa, Yasushi / Okigawa, Mitsuru / Samukawa, Seiji / Yamasaki, Satoshi et al. | 2005
- 395
-
Effect of plasma interactions with low-kappa films as a function of porosity, plasma chemistry, and temperatureWorsley, M. A. / Bent, S. F. / Gates, S. M. / Fuller, N. C. M. / Volksen, W. / Steen, M. / Dalton, T. et al. | 2005
- 395
-
Effect of plasma interactions with low- films as a function of porosity, plasma chemistry, and temperatureWorsley, Marcus A. / Bent, Stacey F. / Gates, Stephen M. / Fuller, Nicholas C. M. / Volksen, Willi / Steen, Michelle / Dalton, Timothy et al. | 2005
- 406
-
Anion variations at semiconductor interfaces: ZnSe(100)/GaAs(100) superlatticesFarrell, H. H. / LaViolette, Randall A. et al. | 2005
- 417
-
Edge effects characterization of phase shift maskChua, Gek Soon / Tay, Cho Jui / Quan, Chenggen / Lin, Qunying et al. | 2005
- 425
-
Effect of sidewall properties on the bottom microtrench during etching in a plasmaMin, Jae-Ho / Lee, Gye-Re / Lee, Jin-Kwan / Moon, Sang Heup / Kim, Chang-Koo et al. | 2005
- 433
-
Effect of electric field on chemical bonds of carbon-doped silicon oxide as evidenced by in situ Fourier transform infrared spectroscopyYiang, K. Y. / Yoo, W. J. / Krishnamoorthy, Ahila et al. | 2005
- 437
-
In situ fabrication of metal gate/high-kappa dielectric gate stacks using a potential lower cost front-end process for the sub-90 nm CMOS technology nodeDamjanovic, D. / Singh, R. / Poole, K. F. et al. | 2005
- 437
-
In situ fabrication of metal gate/high- dielectric gate stacks using a potential lower cost front-end process for the sub- CMOS technology nodeDamjanovic, Daniel / Singh, Rajendra / Poole, Kelvin F. et al. | 2005
- 443
-
Surface morphology of epitaxial LiF(110) and (110) layersSugawara, Akira / Mae, K. et al. | 2005
- 449
-
Effects of B content on microstructure and mechanical properties of nanocomposites Ti-B~x-N~y thin filmsLu, Y. H. / Sit, P. / Hung, T. F. / Chen, H. / Zhou, Z. F. / Li, K. Y. / Shen, Y. G. et al. | 2005
- 449
-
Effects of B content on microstructure and mechanical properties of nanocomposite thin filmsLu, Y. H. / Sit, P. / Hung, T. F. / Chen, Haydn / Zhou, Z. F. / Li, K. Y. / Shen, Y. G. et al. | 2005
- 458
-
Synthesis of multiwalled carbon nanotubes using catalystHuh, Yoon / Lee, Jeong Yong / Choi, Sang Kyu / Lee, Cheol Jin et al. | 2005
- 463
-
Copper diffusion barrier properties of CVD boron carbo-nitrideEngbrecht, E. R. / Sun, Y.-M. / Junker, K. H. / White, J. M. / Ekerdt, J. G. et al. | 2005
- 468
-
Approach to interface roughness of silicide thin films by micro-Raman imagingZhao, F. F. / Sun, W. X. / Feng, Y. P. / Zheng, J. Z. / Shen, Z. X. / Pang, C. H. / Chan, L. H. et al. | 2005
- 475
-
Effects of Pd activation on the self annealing of electroless copper deposition using Co(II)–ethylenediamine as a reducing agentLee, Chang Hwa / Kim, Jae Jeong et al. | 2005
- 480
-
Mechanism of simultaneous formation of refractory-metal free and induced by -switched :Yttrium–aluminum–garnet laser irradiationTan, S. C. / See, A. / Yu, T. / Shen, Z. X. / Lin, J. et al. | 2005
- 486
-
Effects of nickel and titanium thickness on nickel/titanium ohmic contacts to -type silicon carbidePark, Jae Hyun / Holloway, Paul H. et al. | 2005
- 495
-
Fermi level pinning on surface by inductively coupled plasma treatmentKim, Iee-Gon / Choi, Kyoung Jin / Lee, Jong-Lam et al. | 2005
- 499
-
Rutile films grown by molecular beam epitaxy on GaN andHansen, P. J. / Vaithyanathan, V. / Wu, Y. / Mates, T. / Heikman, S. / Mishra, U. K. / York, R. A. / Schlom, D. G. / Speck, J. S. et al. | 2005
- 507
-
Nanoimprint and nanocontact technologies using hydrogen silsesquioxaneNakamatsu, Ken-ichiro / Watanabe, Keiichiro / Tone, Katsuhiko / Namatsu, Hideo / Matsui, Shinji et al. | 2005
- 513
-
Fabrication of polysilicon thin-film transistors using nickel/copper double-layer-induced lateral crystallizationChen, Chi-Chieh / Yang, Bo-Chuan / Lee, Si-Chen et al. | 2005
- 519
-
Investigation of a nanocrystalline silicon phase embedded in thin films grown by pulsed laser depositionFazio, Enza / Barletta, Enrico / Barreca, Francesco / Neri, Fortunato / Trusso, Sebastiano et al. | 2005
- 525
-
Influence of electric field intensity on the copper catalyst-mediated crystallization of amorphous siliconKim, Young-Bae / Kim, Chul-Ho / Kim, Hyun-Chul / Kim, Young-Woong / Jeon, Hyun-Pyo / Choi, Duck-Kyun et al. | 2005
- 530
-
Ferromagnetic properties of (Ga,Mn)N nanowires grown by a chemical vapor deposition methodBaik, Jeong Min / Lee, Jong-Lam et al. | 2005
- 534
-
Study of neutral-beam etching conditions for the fabrication of 7-nm-diameter nanocolumn structures using ferritin iron-core masksKubota, Tomohiro / Baba, Tomohiro / Kawashima, Hiroyuki / Uraoka, Yukiharu / Fuyuki, Takashi / Yamashita, Ichiro / Samukawa, Seiji et al. | 2005
- 540
-
Process method to suppress the effect of phase errors in alternating phase shift masksSingh, Navab / Roy, Moitreyee M. / Mehta, Sohan S. / Adeyeye, A. O. et al. | 2005
- 547
-
Evaluation of the effectiveness of plasmas in removing boron from Si after etching of films in plasmasWang, C. / Donnelly, V. M. et al. | 2005
- 554
-
Combined in situ and ex situ analysis of hydrogen radical and thermal removal of native oxides from (001) GaAsEyink, Kurt G. / Grazulis, Larry et al. | 2005
- 559
-
Ideally ordered 10 nm channel arrays grown by anodization of focused-ion-beam patterned aluminumPeng, C. Y. / Liu, C. Y. / Liu, N. W. / Wang, H. H. / Datta, A. / Wang, Y. L. et al. | 2005
- 563
-
Carbon nanotube field emitter manufactured by anodic-alumina template and Ni-CNT composite platingKang, Hyungdong / Lee, Sangmoon / Lee, Haisung et al. | 2005
- 566
-
Dielectric properties enhancement of thin films induced by substrate biasingHuang, A. P. / Chu, Paul K. / Yan, H. / Zhu, M. K. et al. | 2005
- 570
-
Optical measurement and fabrication from a Morpho-butterfly-scale quasistructure by focused ion beam chemical vapor depositionWatanabe, Keiichiro / Hoshino, Takayuki / Kanda, Kazuhiro / Haruyama, Yuichi / Kaito, Takashi / Matsui, Shinji et al. | 2005
- 575
-
Development and characterization of silane antisticking layers on nickel-based stamps designed for nanoimprint lithographya)Keil, M. / Beck, M. / Ling, T. G. I. / Graczyk, M. / Montelius, L. / Heidari, B. et al. | 2005
- 575
-
Development and characterization of silane antisticking layers on nickel-based stamps designed for nanoimprint lithographyKeil, M. / Beck, M. / Ling, T. G. I. / Graczyk, M. / Montelius, L. / Heidari, B. et al. | 2005
- 585
-
Gas-assisted focused ion beam etching characteristics of niobiumFu, X. L. / Li, P. G. / Jin, A. Z. / Zhang, H. Y. / Yang, H. F. / Tang, W. H. et al. | 2005
- 588
-
Microstructure and mechanical properties of superhard Ti–B–C–N films deposited by dc unbalanced magnetron sputteringPark, In-Wook / Kim, Kwang Ho / Kunrath, Augusto O. / Zhong, Dalong / Moore, John J. / Voevodin, A. A. / Levashov, E. A. et al. | 2005
- 594
-
Electronic defect states at annealed interfacesa)Tumakha, S. / Goss, S. H. / Brillson, L. J. / Okojie, R. S. et al. | 2005
- 594
-
Electronic defect states at annealed metal/4H-SiC interfacesTumakha, S. / Goss, S. H. / Brillson, L. J. / Okojie, R. S. et al. | 2005
- 599
-
Influence of scaling effects on designing for power efficiency of a micropreconcentratorCook, K. A. / Sastry, A. M. et al. | 2005
- 612
-
Three-dimensional time-resolved analysis for the discharge of plasma display panel using the scanned-point detecting systemChoi, Hoon-Young / Lee, Seok-Hyun / Lee, Seung-Gol / Seo, Jeong-Hyun et al. | 2005
- 621
-
Time dependent models of field-assisted photoemissionJensen, Kevin L. / Feldman, Donald W. / O’Shea, Patrick G. et al. | 2005
- 632
-
Effect of aspect ratio and anode location on the field emission properties of a single tip based emitterSmith, R. C. / Carey, J. D. / Forrest, R. D. / Silva, S. R. P. et al. | 2005
- 636
-
Space-charge effects of electrons and ions on the steady states of field-emission-limited diodesLin, Ming-Chieh et al. | 2005
- 640
-
Electron optics and electrical studies of a single Spindt-type field emitterDésières, Y. / Nicolas, P. / Sermet, F. / Geffraye, F. / Gidon, S. et al. | 2005
- 645
-
Numerical study of the electrostatic field gradients present in various planar emitter field emission configurations relevant to experimental researchGonzález-Berríos, Adolfo / Piazza, Fabrice / Morell, Gerardo et al. | 2005
- 649
-
Ring-shaped images as a result of nonuniform field emission from capped carbon nanotubesFilip, L. D. / Nicolaescu, D. / Tanemura, M. / Kanemaru, S. / Itoh, J. et al. | 2005
- 657
-
Field electron emission from two-dimensional electron gasFilip, V. / Nicolaescu, D. / Wong, H. / Nagao, M. / Chu, P. L. et al. | 2005
- 665
-
Field emission under extreme conditions from carbon nanopearls in a foam-like arrangementLevesque, A. / Vincent, P. / Binh, Vu Thien / Guillot, D. / Brookes, M. D. et al. | 2005
- 671
-
Scanning anode field emission microscopy analysis for studies of planar cathodesSemet, V. / Mouton, R. / Binh, Vu Thien et al. | 2005
- 676
-
Field emission from teepee-shaped carbon nanotube bundlesBusta, H. / Tolt, Z. / Montgomery, J. / Feinerman, A. et al. | 2005
- 680
-
Pulsed field emitted current from different commercial samples in the purpose of a free electron laser applicationGanter, R. / Li, K. / Dehler, M. / Gobrecht, J. / Rivkin, L. / Wrulich, A. et al. | 2005
- 682
-
Characterization of an advanced high-efficiency electron emission deviceNegishi, Nobuyasu / Nakada, Tomonari / Sakemura, Kazuto / Okuda, Yoshiyuki / Satoh, Hideo / Watanabe, Atsushi / Yoshikawa, Takamasa / Ogasawara, Kiyohide / Koshida, Nobuyoshi et al. | 2005
- 687
-
Energy distributions of field emission electrons from silicon emittersShimawaki, Hidetaka / Suzuki, Yousuke / Sagae, Katsumi / Neo, Yoichiro / Mimura, Hidenori et al. | 2005
- 691
-
High current, high current density field emitter array cathodesSchwoebel, P. R. / Spindt, C. A. / Holland, C. E. et al. | 2005
- 694
-
Regeneration of gated carbon nanotube field emissionHsu, David S. Y. / Shaw, Jonathan L. et al. | 2005
- 698
-
Influence of mechanical stress on electron field emission of multiwalled carbon nanotube–polymer compositesPoa, C. H. P. / Smith, R. C. / Silva, S. R. P. / Sun, C. Q. et al. | 2005
- 702
-
Stable and high emission current from carbon nanotube paste with spin on glassPark, Jae-Hong / Moon, Jin-San / Han, Jae-Hee / Berdinsky, Alexander S. / Yoo, Ji-Beom / Park, Chong-Yun / Nam, Joong-Woo / Park, Jonghwan / Lee, Chun Gyoo / Choe, Deok Hyeon et al. | 2005
- 707
-
Emission statistics for Si and HfC emitter arrays after residual gas exposureNicolaescu, D. / Nagao, M. / Sato, T. / Filip, V. / Kanemaru, S. / Itoh, J. et al. | 2005
- 718
-
Uniformity measurement of electron emission from carbon nanotubes using electron-beam resistLee, J. H. / Lee, S. H. / Kim, W. S. / Lee, H. J. / Heo, J. N. / Jeong, T. W. / Choi, C. H. / Kim, J. M. / Park, J. H. / Ha, J. S. et al. | 2005
- 723
-
Noise and emission characteristics of field emittersCharbonnier, F. M. / Southall, L. A. / Mackie, W. A. et al. | 2005
- 731
-
Ion-beam morphological conditioning of carbon field emission cathode surfacesHunt, Charles E. / Chakhovskoi, Andrei G. / Wang, Yu et al. | 2005
- 735
-
Observation of electron emission pattern from nanosplit emitter fabricated using beam assisted processMurakami, K. / Yamasaki, N. / Abo, S. / Wakaya, F. / Takai, M. et al. | 2005
- 741
-
Scanning tunneling microscopy observations of hafnium carbide thin films as a field emission materialSato, T. / Saida, M. / Horikawa, K. / Sasaki, M. / Nagao, M. / Kanemaru, S. / Matsukawa, T. / Itoh, J. / Yamamoto, S. et al. | 2005
- 745
-
Low temperature burnable carbon nanotube paste component for carbon nanotube field emitter backlight unitLee, Sora / Im, Won Bin / Kang, Jong Hyuk / Jeon, Duk Young et al. | 2005
- 749
-
Screen printed carbon nanotube field emitter array for lighting source applicationPark, Jae-Hong / Son, Gil-Hwan / Moon, Jin-San / Han, Jae-Hee / Berdinsky, Alexander S. / Kuvshinov, D. G. / Yoo, Ji-Beom / Park, Chong-Yun / Nam, Joong-Woo / Park, Jonghwan et al. | 2005
- 754
-
Selective growth of carbon nanotubes on silicon protrusionsSato, Hideki / Hata, Koichi / Miyake, Hideto / Hiramatsu, Kazumasa / Saito, Yahachi et al. | 2005
- 759
-
Effect of thermal annealing on emission characteristics of nanoelectron source fabricated using beam-assisted processMurakami, K. / Yamasaki, N. / Abo, S. / Wakaya, F. / Takai, M. et al. | 2005
- 762
-
Influence of gas atmosphere during laser surface treatment of CNT cathodeRochanachirapar, W. / Murakami, K. / Yamasaki, N. / Abo, S. / Wakaya, F. / Takai, M. / Hosono, A. / Okuda, S. et al. | 2005
- 765
-
Laser surface treatment of carbon nanotube cathodes for field emission displays with large diagonal sizeRochanachirapar, W. / Murakami, K. / Yamasaki, N. / Abo, S. / Wakaya, F. / Takai, M. / Hosono, A. / Okuda, S. et al. | 2005
- 769
-
Reduction of the work function on Mo(100) surface covered withNakane, Hideaki / Satoh, Shinya / Adachi, Hiroshi et al. | 2005
- 772
-
Fabrication and field emission characteristics of high density carbon nanotube microarraysChuang, C. C. / Huang, J. H. / Lee, C. C. / Chang, Y. Y. et al. | 2005
- 776
-
High current field emission from carbon nanofiber films grown using electroplated Ni catalystPark, Kyung Ho / Yim, Jong Hyuk / Lee, Soonil / Koh, Ken Ha et al. | 2005
- 781
-
Investigation of a microtriode with a planar field emitter-extractor source fabricated by direct-write nanolithography using electron beam induced depositionSellmair, Josef / Edinger, Klaus / Koops, Hans W. P. et al. | 2005
- 786
-
Growth aspects of nanocrystalline diamond films and their effects on electron field emissionsSubramanian, K. / Kang, W. P. / Davidson, J. L. / Hofmeister, W. H. et al. | 2005
- 793
-
Effects of deposition and synthesis parameters on size, density, structure, and field emission properties of Pd-catalyzed carbon nanotubes synthesized by thermal chemical vapor depositionWei, S. / Kang, W. P. / Hofmeister, W. H. / Davidson, J. L. / Wong, Y. M. / Huang, J. H. et al. | 2005
- 800
-
Edge-shaped diamond field emission arraysTakalkar, R. S. / Davidson, J. L. / Kang, W. P. / Wisitsora-at, A. / Kerns, D. V. et al. | 2005
- 805
-
Effect of Ti interlayer on the growth of carbon nanotubes on Si by microwave-heated chemical vapor depositionHuang, J. H. / Chen, Y. S. / Chuang, C. C. / Wong, Y. M. / Kang, W. P. et al. | 2005
- 809
-
Electron field emission from carbon nanotubes on porous aluminaLysenkov, D. / Abbas, H. / Müller, G. / Engstler, J. / Budna, K. P. / Schneider, J. J. et al. | 2005
- 814
-
X-ray generation from large area carbon-based field emittersBusta, H. / Lesiak, S. / Zwicker, B. / Montgomery, J. / Wan, Z. / Feinerman, A. et al. | 2005
- 819
-
Desorption/ionization mass spectrometry on array of silicon microtipsGorecka-Drzazga, Anna / Dziuban, Jan / Drzazga, Wlodzimierz / Kraj, Agnieszka / Silberring, Jerzy et al. | 2005
- 824
-
Composite-layered solid-state field controlled emitter for a better control of the cathode surface barrierSemet, V. / Binh, Vu Thien / Zhang, J. P. / Yang, J. / Khan, M. Asif / Tsu, R. et al. | 2005
- 831
-
High intensity pulse x-ray generation by using graphite-nanocrater cold cathodeMatsumoto, Takahiro / Mimura, Hidenori et al. | 2005
- 836
-
Method and structure for local emission regulation and arc prevention in field emitter arraysShaw, Jonathan L. / Hsu, David S. Y. et al. | 2005
- 840
-
Smith–Purcell radiation using a single-tip field emitterNeo, Yoichiro / Suzuki, Yosuke / Sagae, Katsumi / Shimawaki, Hidetaka / Mimura, Hidenori et al. | 2005
- 843
-
Characterization of nano-size and phosphors by low voltage cathodo- and photoluminescenceKang, Jong Hyuk / Nazarov, Michael / Im, Won Bin / Kim, Jin Young / Jeon, Duk Young et al. | 2005
- 849
-
Field-emission based vacuum device for the generation of terahertz wavesLin, Ming-Chieh / Huang, Kuo-Hua / Lu, Pu-Shih / Lin, Pei-Yi / Jao, Ruei-Fu et al. | 2005
- 853
-
Polysilicon metal-insulator-semiconductor electron emitterGovyadinov, Alexander / Novet, Thomas / Pidwerbecki, David / Ramamoorthi, Sriram / Smith, Jim / Chen, John / Otis, Chuck / Neiman, David / Benning, Paul et al. | 2005
- 864
-
Fabrication of Si field emitter array in local vacuum packageNoda, Daiji / Hatakeyama, Masanori / Kyogoku, Masanori / Ikushima, Kimiya / Sawada, Kazuaki / Ishida, Makoto et al. | 2005
- 868
-
Transistor characteristics of thermal chemical vapor deposition carbon nanotubes field emission triodeWong, Y. M. / Kang, W. P. / Davidson, J. L. / Hofmeister, W. / Wei, S. / Huang, J. H. et al. | 2005
- 877
-
Nanofabrication by scanning probe microscope lithography: A reviewTseng, Ampere A. / Notargiacomo, Andrea / Chen, T. P. et al. | 2005
- 895
-
Effect of thermal annealing on -T-shaped--gate high electron mobility transistorsYamashita, Yoshimi / Endoh, Akira / Ikeda, Keiji / Hikosaka, Kohki / Mimura, Takashi / Higashiwaki, Masataka / Matsui, Toshiaki / Hiyamizu, Satoshi et al. | 2005
- 900
-
Etching silicon-containing bilayer resists in ammonia-based plasmasPanda, Siddhartha / Wise, Richard / Mahorowala, Arpan / Balasubramanium, Vaidya / Sugiyama, Kenro et al. | 2005
- 908
-
Thin-film transformations and volatile products in the formation of nanoporous low- polymethylsilsesquioxane-based dielectricLazzeri, P. / Vanzetti, L. / Anderle, M. / Bersani, M. / Park, J. J. / Lin, Z. / Briber, R. M. / Rubloff, G. W. / Kim, H. C. / Miller, R. D. et al. | 2005
- 918
-
Imaging patterns of intensity in topographically directed photolithographyPaul, Kateri E. / Breen, Tricia L. / Hadzik, Tanja / Whitesides, George M. / Smith, Stephen P. / Prentiss, Mara et al. | 2005
- 926
-
Characteristics of perylene-based organic thin-film transistor with octadecyltrichlorosilane monolayerPark, D. S. / Kang, S. J. / Kim, H. J. / Jang, M. H. / Noh, M. / Yoo, K.-H. / Whang, C. N. / Lee, Y. S. / Lee, M. H. et al. | 2005
- 930
-
Nitrogen-incorporated multiwalled carbon nanotubes grown by direct current plasma-enhanced chemical vapor depositionYang, Ji Hoon / Kim, Bub Jin / Kim, Yun Hee / Lee, Young Jin / Ha, Byung Ho / Shin, Yong Sook / Park, Serng-Yerl / Kim, Hyun Suk / Park, Chong-Yun / Yang, Chul Woong et al. | 2005
- 934
-
Fabrication of two- and three-dimensional photonic crystals of titania with submicrometer resolution by deep x-ray lithographyAwazu, Koichi / Wang, Xiaomin / Fujimaki, Makoto / Kuriyama, Taketo / Sai, Akihide / Ohki, Yoshimichi / Imai, Hiroaki et al. | 2005
- 940
-
Strain analysis in silicon substrates under uniaxial and biaxial stress by convergent beam electron diffractionToh, Suey Li / Loh, K. P. / Boothroyd, C. B. / Li, K. / Ang, C. H. / Chan, L. et al. | 2005
- 947
-
Direct deposition of aligned nanorod array onto cylindrical objectsFan, J.-G. / Zhao, Y.-P. et al. | 2005
- 954
-
Effect of carrier hopping and relaxing on photoluminescence line shape in self-organized InAs quantum dot heterostructuresNee, Tzer-En / Wu, Ya-Fen / Lin, Ray-Ming et al. | 2005
- 959
-
Ion beam sputter deposition of soft x-ray multilayer mirrorsSchubert, E. / Frost, F. / Ziberi, B. / Wagner, G. / Neumann, H. / Rauschenbach, B. et al. | 2005
- 966
-
Cross sections for the investigation of the electroluminescence excitation of quantum wells in blue light-emitting diodes with multiquantum barriersNee, Tzer-En / Wang, Jen-Cheng / Lin, Chung-Han / Lin, Ray-Ming / Huang, Ching-An / Fang, Bor-Ren / Wang, Ruey-Yu et al. | 2005
- 970
-
Millisecond microwave annealing: Driving microelectronics nanoThompson, Keith / Booske, J. H. / Ives, R. L. / Lohr, J. / Gorelov, Yurii / Kajiwara, Ken et al. | 2005
- 979
-
Ta-rich atomic layer deposition TaN adhesion layer for Cu interconnects by means of plasma-enhanced atomic layer depositionFuruya, Akira / Tsuda, Hiroshi / Ogawa, Shinichi et al. | 2005
- 984
-
Fabrication and characterization of slanted nanopillars arrayFu, Yongqi / Ann Bryan, Ngoi Kok et al. | 2005
- 990
-
Enhancement of He-induced cavities in silicon by hydrogen plasma treatmentLiu, C. L. / Ntsoenzok, E. / Vengurlekar, A. / Ashok, S. / Alquier, D. / Ruault, M. O. / Dubois, C. et al. | 2005
- 995
-
Micro∕nanotribological study of perfluorosilane SAMs for antistiction and low wearKasai, Toshi / Bhushan, Bharat / Kulik, Gerit / Barbieri, Laura / Hoffmann, Patrik et al. | 2005
- 1004
-
Photoreflectance characterization of double-heterojunction bipolar transistor epitaxial wafersSugiyama, Hiroki / Oda, Yasuhiro / Kobayashi, Takashi / Uchida, Masahiro / Watanabe, Noriyuki et al. | 2005
- 1010
-
High quality AlSb bulk material on Si substrates using a monolithic self-assembled quantum dot nucleation layerBalakrishnan, G. / Huang, S. / Khoshakhlagh, A. / Dawson, L. R. / Xin, Y.-C. / Conlin, P. / Huffaker, D. L. et al. | 2005
- 1013
-
Effects of oxygen plasma on optical and electrical characteristics of multiwall carbon nanotubes grown on a four-probe patterned Fe layerLee, Jeong-Soo / Chandrashekar, Anand / Park, Bong Mo / Overzet, Lawrence J. / Lee, G. S. et al. | 2005
- 1018
-
Chemisorption of C~6~0 on the Si(001)-2x1 surface at room temperatureCheng, C.-P. / Pi, T.-W. / Ouyang, C.-P. / Wen, J.-F. et al. | 2005
- 1018
-
Chemisorption of on the surface at room temperatureCheng, C.-P. / Pi, T.-W. / Ouyang, C.-P. / Wen, J.-F. et al. | 2005
- 1024
-
Comparison of ZnO metal–oxide–semiconductor field effect transistor and metal–semiconductor field effect transistor structures grown on sapphire by pulsed laser depositionKao, C. J. / Kwon, Yong Wook / Heo, Y. W. / Norton, D. P. / Pearton, S. J. / Ren, F. / Chi, G. C. et al. | 2005
- 1029
-
Effect of cerium concentration on the structural and ferroelectric properties of thin films for ferroelectric random access memoriesOh, Young-Nam / Yoon, Soon-Gil et al. | 2005
- 1032
-
Integrated field effect transistors for microelectromechanical systems applications, modeling, and resultsYoung, Ralph W. / Draper, Bruce L. et al. | 2005
- 1036
-
Effect of gate hard mask and sidewall spacer structures on the gate oxide reliability of gate MOSFET for high density DRAM applicationsLim, Kwan-Yong / Cho, Heung-Jae / Jang, Se-Aug / Kim, Yong Soo / Oh, Jae-Geun / Lee, Jung-Ho / Yang, Hong-Seon / Sohn, Hyun-Chul / Kim, Jin-Woong et al. | 2005
- 1041
-
Nanostructured carbon-metal composite filmsNarayan, Roger J. / Scholvin, Dirk et al. | 2005
- 1047
-
Growth and characterization of Si-doped self-assembled InAs quantum dotsNah, Jongbum et al. | 2005
- 1050
-
Implementing multiple band gaps using inductively coupled argon plasma enhanced quantum well intermixingNie, D. / Mei, T. / Djie, H. S. / Chin, M. K. / Tang, X. H. / Wang, Y. X. et al. | 2005
- 1054
-
Characterization of small-mismatch GaAsSbN on GaAs grown by solid source molecular beam epitaxyWicaksono, S. / Yoon, S. F. / Tan, K. H. / Loke, W. K. et al. | 2005
- 1060
-
Self-terminated oxide polish technique for the waveguide ridge laser diode fabricationPeng, Te-Chin / Yang, Chih-Chao / Huang, Yun-Hsun / Wu, Meng-Chyi / Ho, Chong-Lung / Ho, Wen-Jeng et al. | 2005
- 1064
-
Improved high temperature growth of GaInNAsSb by molecular beam epitaxyMaranowski, K. D. / Smith, J. M. / Fanning, T. R. / Jewell, J. L. et al. | 2005
- 1068
-
Lateral templating of self-organized ripple morphologies during focused ion beam milling of GeIchim, Stefan / Aziz, Michael J. et al. | 2005
- 1072
-
Low resistance ohmic contact to -type GaN using multilayer schemeBae, J. W. / Hossain, T. / Adesida, I. / Bogart, K. H. / Koleske, D. / Allerman, A. A. / Jang, J. H. et al. | 2005
- 1076
-
Topography simulations for contact formation involving reactive ion etching, sputtering and chemical vapor depositionTakagi, S. / Onoue, S. / Iyanagi, K. / Nishitani, K. / Shinmura, T. et al. | 2005
- 1084
-
Electron emission from boron nitride films deposited on patterned GaAs substratesShima, H. / Funakawa, S. / Kimura, C. / Sugino, T. et al. | 2005
- 1084
-
Electron emission from boron nitride films deposited on patterned GaAs substratesa)Shima, Hidekazu / Funakawa, Shingo / Kimura, Chiharu / Sugino, Takashi et al. | 2005
- 1088
-
Technology of polycrystalline diamond thin films for microsystems applicationsTang, Yuxing / Aslam, Dean M. et al. | 2005
- 1096
-
Effect of a surface inhibition layer on line edge roughnessMa, Y. / Cerrina, F. et al. | 2005
- 1096
-
Effect of a surface inhibition layer on line edge roughnessa)Ma, Yuansheng / Cerrina, Franco et al. | 2005
- 1102
-
Effect of imprinting pressure on residual layer thickness in ultraviolet nanoimprint lithographyLee, Heon et al. | 2005
- 1107
-
Technique for site-specific plan-view transmission electron microscopy of nanostructural electronic devicesBassim, N. D. / Twigg, M. E. et al. | 2005
- 1118
-
Preface| 2005
- 1119
-
Molecular beam epitaxy growth and characterization of mid-IR type-II “W” diode lasersCanedy, C. L. / Bewley, W. W. / Boishin, G. I. / Kim, C. S. / Vurgaftman, I. / Kim, M. / Meyer, J. R. / Whitman, L. J. et al. | 2005
- 1125
-
Growth of high optical quality quantum dots in double heterostructuresZhang, Z. H. / Cheng, K. Y. et al. | 2005
- 1129
-
High-performance 30-period quantum-dot infrared photodetectorChou, Shu-Ting / Lin, Shih-Yen / Hsiao, Ru-Shang / Chi, Jim-Yong / Wang, Jyh-Shyang / Wu, Meng-Chyi / Chen, Jenn-Fang et al. | 2005
- 1132
-
Uniformly doped quantum-dot infrared photodetector structuresPal, D. / Towe, E. et al. | 2005
- 1136
-
Midinfrared “W” diode lasers with digitally grown tensile-strained AlGaAsSb barriersLi, W. / Héroux, J. B. / Shao, H. / Wang, W. I. / Vurgaftman, I. / Meyer, J. R. et al. | 2005
- 1140
-
Regrown-emitter InP heterojunction bisucpolar transistorsKadow, C. / Gossard, A. C. / Rodwell, M. J. W. et al. | 2005
- 1144
-
Molecular beam epitaxy growth of high quantum efficiency InAs/GaSb superlattice detectorsSullivan, G. J. / Ikhlassi, A. / Bergman, J. / DeWames, R. E. / Waldrop, J. R. / Grein, C. / Flatté, M. / Mahalingam, K. / Yang, H. / Zhong, M. et al. | 2005
- 1149
-
Optical properties of (GaSb)~3~n(AlSb)~n (1Choi, S. G. / Srivastava, S. K. / Palmstrom, C. J. / Kim, Y. D. / Cooper, S. L. / Aspnes, D. E. et al. | 2005
- 1149
-
Optical properties of superlatticesChoi, S. G. / Srivastava, S. K. / Palmstrøm, C. J. / Kim, Y. D. / Cooper, S. L. / Aspnes, D. E. et al. | 2005
- 1154
-
Interface roughness characterization by electron mobility of pseudomorphic modulation-doped quantum wells grown on (411)A InP substrates by molecular beam epitaxyKatoh, S. / Sagisaka, H. / Yamamoto, M. / Watanabe, I. / Kitada, T. / Shimomura, S. / Hiyamizu, S. et al. | 2005
- 1158
-
Much improved flat interfaces of InGaAs/AlAsSb quantum well structures grown on (411)A InP substrates by molecular-beam epitaxyImura, M. / Kurohara, H. / Masui, Y. / Asano, T. / Kitada, T. / Shimomura, S. / Hiyamizu, S. et al. | 2005
- 1158
-
Much improved flat interfaces of quantum well structures grown on InP substrates by molecular-beam epitaxya)Imura, M. / Kurohara, H. / Masui, Y. / Asano, T. / Kitada, T. / Shimomura, S. / Hiyamizu, S. et al. | 2005
- 1162
-
Molecular beam epitaxial growth of planar superlattice structures on vicinal GaAs and their transport propertiesAkiyama, Y. / Kawazu, T. / Noda, T. / Koshiba, S. / Torii, K. / Sakaki, H. et al. | 2005
- 1166
-
Enhanced strain relaxation rate of InGaAs by adatom-assisted dislocation kink nucleationLynch, C. / Chason, E. / Beresford, R. et al. | 2005
- 1171
-
Effect of micro-twin defects on InSb quantum wellsMishima, T. D. / Keay, J. C. / Goel, N. / Ball, M. A. / Chung, S. J. / Johnson, M. B. / Santos, M. B. et al. | 2005
- 1174
-
Recent developments in surface studies of andFeenstra, R. M. / Dong, Y. / Lee, C. D. / Northrup, J. E. et al. | 2005
- 1181
-
Impact of unintentional and intentional nitridation of the substrate on GaN epitaxyKim, Tong-Ho / Choi, Soojeong / Morse, Mike / Wu, Pae / Yi, Changhyun / Brown, April / Losurdo, Maria / Giangregorio, Maria M. / Bruno, Giovanni et al. | 2005
- 1186
-
Studies of ammonia dissociation during the gas source molecular-beam epitaxial growth of III nitridesWicks, G. W. / Koch, M. W. / Pedrazzani, J. R. et al. | 2005
- 1190
-
Growth and characterization of plasma-assisted molecular beam epitaxial-grown AlGaN/GaN heterostructures on free-standing hydride vapor phase epitaxy GaN substratesStorm, D. F. / Katzer, D. S. / Mittereder, J. A. / Binari, S. C. / Shanabrook, B. V. / Zhou, Lin / Smith, David J. / Xu, X. / McVey, D. / Vaudo, R. P. et al. | 2005
- 1194
-
Influence of AlN nucleation layer on the epitaxy of GaN/AlGaN high electron mobility transistor structure and wafer curvatureTorabi, A. / Hoke, W. E. / Mosca, J. J. / Siddiqui, J. J. / Hallock, R. B. / Kennedy, T. D. et al. | 2005
- 1199
-
Ammonia molecular beam epitaxy growth of -type GaN and application to bipolar junction transistorsHaffouz, S. / Tang, H. / Bardwell, J. A. / Rolfe, S. / Hsu, E. M. / Sproule, I. / Moisa, S. / Beaulieu, M. / Webb, J. B. et al. | 2005
- 1204
-
Molecular beam epitaxy of heterostructures for high electron mobility transistorsKatzer, D. S. / Storm, D. F. / Binari, S. C. / Shanabrook, B. V. / Torabi, A. / Zhou, Lin / Smith, David J. et al. | 2005
- 1209
-
Optical characterization and evaluation of the conduction band offset for quantum wells grown on InP(001) by molecular-beam epitaxySohel, Mohammad / Zhou, Xuecong / Lu, Hong / Perez-Paz, M. Noemi / Tamargo, Maria / Muñoz, Martin et al. | 2005
- 1212
-
Effect of beryllium concentration on the size of self-assembled CdSe quantum dots grown on by molecular-beam epitaxyZhou, X. / Tamargo, Maria C. / Muñoz, Martin / Liu, H. / Couzis, A. / Maldarelli, C. / Huang, Y. S. / Malikova, L. et al. | 2005
- 1217
-
Self-assembled quantum-dot molecules by molecular-beam epitaxySuraprapapich, S. / Thainoi, S. / Kanjanachuchai, S. / Panyakeow, S. et al. | 2005
- 1221
-
In situ monitoring of formation of InAs quantum dots and overgrowth by GaAs or AlAsYakimov, Michael / Tokranov, Vadim / Agnello, Gabriel / van Eisden, Jobert / Oktyabrsky, Serge et al. | 2005
- 1226
-
GaAs buffer layer morphology and lateral distributions of InGaAs quantum dotsRoshko, A. / Harvey, T. E. / Lehman, S. Y. / Mirin, R. P. / Bertness, K. A. / Hyland, B. L. et al. | 2005
- 1232
-
Site-controlled InAs quantum dots on GaAs patterned using self-organized nano-channel alumina templateMeneou, K. / Tsai, C. L. / Zhang, Z. H. / Cheng, K. Y. et al. | 2005
- 1236
-
Single layer and stacked CdSe self-assembled quantum dots with ZnCdMgSe barriers for visible and white light emittersPerez-Paz, M. Noemi / Zhou, Xuecong / Muñoz, Martin / Sohel, Mohammad / Lu, Hong / Fernandez, Francisco / Jean-Mary, Fleumingue / Akins, Daniel L. / Tamargo, Maria C. et al. | 2005
- 1240
-
Molecular beam epitaxy growth of novel double-layer InAs quantum dot structures and their optical propertiesOhmori, M. / Kawazu, T. / Torii, K. / Sakaki, H. et al. | 2005
- 1243
-
1.3 mum InAs quantum dots grown with an As~2 source using molecular-beam epitaxySugaya, T. / Komori, K. / Yamauchi, S. / Amano, T. et al. | 2005
- 1243
-
InAs quantum dots grown with an source using molecular-beam epitaxySugaya, Takeyoshi / Komori, Kazuhiro / Yamauchi, Shougo / Amano, Takeru et al. | 2005
- 1247
-
Growth related interference effects in band edge thermometry of semiconductorsSacks, R. N. / Barlett, D. / Taylor, C. A. / Williams, J. et al. | 2005
- 1252
-
Specular reflectance spectroscopy for substrate temperature determination in radio frequency-plasma molecular beam epitaxy of nitride semiconductorsKatzer, D. S. / Storm, D. F. / Binari, S. C. / Holm, R. T. / Mahon, R. / Yang, M. J. / Freitas, J. A. et al. | 2005
- 1257
-
Inert gas maintenance for molecular-beam epitaxy systemsOye, Michael M. / Ahn, J. / Cao, C. / Chen, H. / Fordyce, W. / Gazula, D. / Govindaraju, S. / Hurst, J. B. / Lipson, S. / Lu, D. et al. | 2005
- 1262
-
Comparative studies of the epireadiness of InP substrates for molecular-beam epitaxy growthFastenau, J. M. / Lubyshev, D. / Wu, Y. / Doss, C. / Liu, W. K. et al. | 2005
- 1267
-
Storage conditions for high-accuracy composition standards of AlGaAsBertness, K. A. / Roshko, A. / Asher, S. E. / Perkins, C. L. et al. | 2005
- 1272
-
Molecular beam epitaxy of complex metal-oxides: Where have we come, where are we going, and how are we going to get there?Doolittle, W. Alan / Carver, Alexander G. / Henderson, Walter et al. | 2005
- 1277
-
Electronic properties of ZnO epilayers grown on -plane sapphire by plasma-assisted molecular beam epitaxyMurphy, T. E. / Chen, D. Y. / Cagin, E. / Phillips, J. D. et al. | 2005
- 1281
-
Electrical characterization for ZnO layers grown on GaN templates by molecular-beam epitaxyOh, D. C. / Suzuki, T. / Kim, J. J. / Makino, H. / Hanada, T. / Cho, M. W. / Yao, T. / Song, J. S. / Ko, H. J. et al. | 2005
- 1286
-
Selective growth of Zn- and O-polar ZnO layers by plasma-assisted molecular beam epitaxyMinegishi, Tsutomu / Yoo, JungHoon / Suzuki, Hideyuki / Vashaei, Zahra / Inaba, Katsuhiko / Shim, Keesam / Yao, Takafumi et al. | 2005
- 1291
-
Depth profiling the electronic structures at interface grown by molecular beam epitaxyLay, T. S. / Chang, S. C. / Din, G. J. / Yeh, C. C. / Hung, W. H. / Lee, W. G. / Kwo, J. / Hong, M. et al. | 2005
- 1294
-
Mn doping and -type conductivity in zinc-blende GaMnN layers grown by molecular beam epitaxyNovikov, S. V. / Edmonds, K. W. / Zhao, L. X. / Giddings, A. D. / Wang, K. Y. / Campion, R. P. / Staddon, C. R. / Fay, M. W. / Han, Y. / Brown, P. D. et al. | 2005
- 1299
-
Molecular beam epitaxial growth of epilayersCottier, R. J. / Amir, F. Z. / Hossain, K. / House, J. B. / Gorman, B. P. / Perez, J. M. / Holland, O. W. / Golding, T. D. / Stokes, D. W. et al. | 2005
- 1304
-
Molecular-beam epitaxial growth and characterization of : Thin films and superlatticesMaksimov, O. / Sheu, B. L. / Schiffer, P. / Samarth, N. et al. | 2005
- 1308
-
Structure and magnetic properties of Cr-doped GaNKim, J. J. / Makino, H. / Sakurai, M. / Oh, D. C. / Hanada, T. / Cho, M. W. / Yao, T. / Emura, S. / Kobayashi, K. et al. | 2005
- 1313
-
Dielectric functions of molecular-beam-epitaxy-grown thin filmsWeber, Z. J. / Peiris, F. C. / Liu, X. / Furdyna, J. K. et al. | 2005
- 1317
-
Gas-source molecular-beam epitaxial growth of Ga(In)NP on GaP(100) substrates for yellow-amber light-emitting devicesOdnoblyudov, V. A. / Tu, C. W. et al. | 2005
- 1320
-
Effects of antimony and ion damage on carrier localization in molecular-beam-epitaxy-grown GaInNAsBank, S. R. / Wistey, M. A. / Yuen, H. B. / Lordi, V. / Gambin, V. F. / Harris, J. S. et al. | 2005
- 1324
-
Protecting wafer surface during plasma ignition using an arsenic capWistey, M. A. / Bank, S. R. / Yuen, H. B. / Goddard, L. L. / Gugov, T. / Harris, J. S. et al. | 2005
- 1328
-
Investigation of nitrogen flow variation into a radio frequency plasma cell on plasma properties and grown by molecular beam epitaxyYuen, Homan B. / Wistey, Mark A. / Bank, Seth R. / Bae, Hopil / Harris, James S. et al. | 2005
- 1333
-
Formation of atomic hydrogen during radio frequency nitrogen plasma assisted chemical beam epitaxy of III–V dilute nitridesFotkatzikis, A. / Pinault, M.-A. / Coaquira, J. A. H. / Freundlich, A. et al. | 2005
- 1337
-
Molecular-beam epitaxy growth of low-threshold cw GaInNAsSb lasers atBank, Seth R. / Wistey, Mark A. / Yuen, Homan B. / Goddard, Lynford L. / Bae, Hopil / Harris, James S. et al. | 2005
- 1337
-
Molecular-beam epitaxy growth of low-threshold cw GaInNAsSb lasers at 1.5 mumBank, S. R. / Wistey, M. A. / Yuen, H. B. / Goddard, L. L. / Bae, H. / Harris, J. S. et al. | 2005
- 1341
-
GaNAs(001) surface phases under growing conditionMori, Takahiro / Morimura, Toshiharu / Hanada, Takashi / Yao, Takafumi et al. | 2005
- 1351
-
Scanning tunneling microscopy single atom/molecule manipulation and its application to nanoscience and technologyHla, Saw-Wai et al. | 2005
- 1361
-
Optical waveguide loss induced by metal claddingLin, J. / Leven, A. / Reyes, R. / Chen, Y. K. / Choa, F.-s. et al. | 2005